The branch, archer-jankratochvil-entryval has been updated via 6e6ef3179c9b7b10c3659800ab7cdf00e842cd1c (commit) via a4c6b4143733112295c6d359f0f2ac7489137ebc (commit) via f8c852aa780f6b53c0576cfbcd326413a59680bc (commit) via 90ed58afe1256fbb9d8df73e90bb84c9c913f3f3 (commit) via 23b1ca2a70632a3300117dbc82ef73db75f150e1 (commit) via 4d94e6000b0422ddd3a3d0ff17542e46c7e402b2 (commit) via 566bcdbe9056e31964e0a04299dc3e8730c9f4e3 (commit) via d2ab7481bab242fa9beb5a8272fe783278c717c0 (commit) via e1133e8a8ffc55105d7489b507559536577c515a (commit) via 057a947749d6e63a9e380b80274357b5923b386f (commit) via 2ece73a482778b13e84d8d79e92f00a20ee65d34 (commit) via 04351cba945af33368c50f54cbfd85d0da1dcce1 (commit) via d906de3bd973c9b0b1dcfd101c2041b30efc7068 (commit) via fd2c06813b72628b87cdf3a26c83b4a1677a5781 (commit) via 0a988f86ebf30f057ee16b5d407f58ba38003967 (commit) via fe83dcdb4b7ab6f897460903305dd336bde3635e (commit) via e9d1051c8c4d7f5f1543663319ebbc9a85124db7 (commit) via 4e27712e560a52f3c5bb617af8d0c7e22e54bd8b (commit) via c1aa50354b133be073be1de53cc342da9a77f003 (commit) via f02360a7c4836cb874e428cdb20c6e506b8f3f94 (commit) via b6b2c802d9d6d67548ab24c517e5e1bc83d83ab1 (commit) via 42080002c7e83b6e2b7d4de2fd89fc16fe4fc6b4 (commit) via d6021a936a62b6d45c41bb23e7c7bbf031a0f7e0 (commit) via 32f8fc382e25a0f7c4c0b81d6b92051d5e427242 (commit) via 8bd6924d85743a64f66965aa26f372f6c538fc4e (commit) via 880f85ce5922f221bd4bea9f5d8b18ccf0cc669d (commit) via e7ee35bacc0e587d4dfe04820185c2f38661b856 (commit) via e24bf762cbfd8331e9bb8b0f9ea8c6fadcd4b7b5 (commit) via 79e212f34bcbc348aa1a8499d792164b179415d3 (commit) via 69daa0ca6b3aef6e2f6b6cc941ce790001c8f8c2 (commit) via 00a69a4bc9472e28ea8a13e23af8b9e59f955c43 (commit) via a876f5f5511df1b28c75e1a951831d78bcc3170f (commit) via f1b9695631accf56d7c4d923e814ba0a2fbc3cde (commit) via 538ee6834ae5cad3102fc713eda4fad02aaca79d (commit) via 4597e3e878bfc6f0c2230ed50d055808df26529b (commit) via 276266975b07b77de4bf7ec235e50bd61d46be80 (commit) via e19080df1d502deede4535c302971847b699da2c (commit) via 14ffe183d5b83db89893cb9831f41e91524e19eb (commit) via dd012f61b2b43d8200d94f067984ca368bd430e7 (commit) via a201aa13ac05b7a2dd238ef59f49a9c64004224b (commit) via 915afe34a3e2f04207eeb8c7d42958be83dbfdf5 (commit) via 2bda0fe0775f5913b02526261b9a299b9932c097 (commit) via 480b0cd2ceebddfb3b802a1159846ef61fc943a0 (commit) via 369e11e316aebe8e3696baf6da2feeafd940e915 (commit) via 67ea3524cb23fea4892d8d0e7cfc1efc7bb9adf6 (commit) via 5a0b6590455eb56bdbf44618fbc25fbd8fb0efc5 (commit) via 926e38065f8a9c87981ecb55f08080f677ab2455 (commit) via fbd18a3e2a04a161758f771a64f49e70fbcbd6c4 (commit) via dbed69e4016c4f107faa5e3f4ff44f5b58181d75 (commit) via 43ff4218ca2a32bb73911cbdf3109f12eee68248 (commit) via fcc121ab82c978a1f81a394de2573d32eedcd103 (commit) via f0dc081132d2229a97b5864266ba18299fc8033f (commit) via 835cf7647bf08d33e2c7286b45658cc58704b363 (commit) via 8c89a7cf6296b10d04204981eef69181901bb9a5 (commit) via f658463559c9f03672b0fd226e4941f159e65bc0 (commit) via b96c1e44204ac4aacd3ab8a5e6a8ab9405ad3832 (commit) via 25275c5a6899eea4f981e364c70f764866e722ee (commit) via f1666ba44fb3da7711d2aa5767bad73c1de3bba0 (commit) via 8dbc67a4ba883a79e955459e24a665b9f916abc1 (commit) via 0280b9139093f51dba98e1cb1aee79149ef0ef34 (commit) via 036e796cbf39139e7a28c5ba9a90ee221c3d980c (commit) via 8497eeb3a57739e12fefd849c6875351a3ec5e0f (commit) via 3012e19fba0bb953f43960628c9b783bb71d80ae (commit) via 58524558dd13ec7f109c027c99aecbd6b68921c6 (commit) via f7f78b5195ad1dbf855f392c099cab7fbc54d5bf (commit) via a1095d0965336399dbf31f3588ce8eaa67655de9 (commit) via 9d5f08d6f93ad091e5b0733c299f7eb1c7a94abd (commit) via 91418c4d96655bf2d620040bab9d4b04eca31683 (commit) via 08af17fe8d80b3cffdc819f3cf122c4a5a56e9bc (commit) via 6da81da76a02b50b635495a6b5e63bc0a4bcb35a (commit) via f58d672f00e79435cb9e94ce3f03797bf3c7d467 (commit) via 69484510991736a43cd2dd3639993f3a8fb7fa23 (commit) via b042ae7feda15f893fb5739acf31ca25bf2dd946 (commit) via 56dc5ceb8c846ea50a83cc51de8687c5603e3846 (commit) via 7762f465cebf91dc11b253953c84f606ae1af3b1 (commit) via f5196d076011d4c432d1a12b09f04f1fdbbfefb4 (commit) via 4336c7a2c44d34e114d2b2ca15bb608a05c23efa (commit) via 1c463e3cebc2d04ff0e77b0ec04ae7906ef254f2 (commit) via 2825bb9e506bf3cd5bc3e01bb414c8afd0f86ea6 (commit) via 811ca069a7ef381ce2f59756f48bcc7dcdcaa258 (commit) via 578efa3a315d4844ad34833fd72c5a42b3203643 (commit) via 159c2cd07096bdc779ebf3ad8286a74054c49f35 (commit) via ddb8e278df4280325c3670159d81379313d675a2 (commit) via 9025df5be4c8ae06fbc040d4123375049f3012d8 (commit) via dd76f0447f9920cd958bc504b364dac2c9abd454 (commit) via ed7cc0ae2782f8cf2a237d2a6b63419a1becef8c (commit) via a939fbd5770b32e615f32908aeb7dd1b691745ba (commit) via bffd2056646e0abbb1611f8eea48d26fc973a948 (commit) via 3b12013585b91f8450012e5ee719caab07aeb49b (commit) via 7cb8edf238a1c57556d986ef0ece3aa8d03b5fff (commit) via 24c0ac3a5da34fbcb31b5dd5d55723de7ffe57a8 (commit) via b25d1792d6f246dd4675e64c2d5c051c0ed03811 (commit) via 44b67f6b464ec456793182183b3b47175d7d602e (commit) via d28e5067bf1fde683dfe7b3d5c3e97d24bd426f3 (commit) via 247246ce3b32a94f60d842250a4773f867727d82 (commit) via 3a14bd1a68ad05c598d0cb126495b3c0a6e244a4 (commit) via ae14b143a9445ee7a9c86b4d4bd55fd7bdfcd8f5 (commit) via 47cd6407eed65f9fae56739b3062910734374705 (commit) via a5cb3b798438675cebbad414450347db27d853d4 (commit) via 34f725e1cdaaded7adcf4ca712293b24701b71e2 (commit) via c872f0f77d3f539c95695dba6dc62c2cdb7c5c6c (commit) via dbddfab4ceeb9e94d131a888c17428695358c715 (commit) via 098c44473f30da40083d59dd4f7416aa03f9b051 (commit) via 2e3761e8b39216a94d587c2a313d7902819b8892 (commit) via 067f4f701e8a5108c063fc850fb879cb10efcbe2 (commit) via 188088d94e6dd3117ef7dd952b67eae5402f1b94 (commit) via 9c4ae22bbc77405f288ed02eef49d72870de2bc9 (commit) via e3d9b37bfa3d5ea64b71e17b54d798364b8a026c (commit) via abc6ef52b2dcc19aaea9d24245680847d46aa63a (commit) via 4a56deb7d50ffd520232bc93818aab720cfd9757 (commit) via 42403ab87a77544ec6556790d7e13cfaa983992f (commit) via 4bd68ff6be813cbf1ea0b150425f05c81072502a (commit) via 462efd2d3410feaa2bc93f89a994a18aec80bce0 (commit) via a72aaecd5ef596f831e322f6144a15de8f7ed504 (commit) via 016dae156e3036762c13e6efd4a317cabec0f014 (commit) via 0eb54b2d562590d335c6ae1ac1e5189d9ba96626 (commit) via 2bdc820adfead6aa7fdb16242280473af1040d4e (commit) via e22f2451533d6f187dadb172110c913aad5f3e38 (commit) via b73981bff96033c991de0683074cc89d93e3b562 (commit) via 2da93de625b3101f2b86cbb5064d67b314a7a058 (commit) via f19897f5904be38a25f0c6ae27f24c835eeaf986 (commit) via 0ddc05e6d067978556ae2fc241293648c4bf2ffa (commit) via ed46a3cf6d6c9489594afc0e68b8c3a5bddab25e (commit) via 5a265baae2cca80d6234c3c8166e7fa48eddc1d6 (commit) via d55b1ed48098d6e48b569b5e123f2c7a8e2ea3f8 (commit) via b6e89fa214cb5b603e8a114f040a92e005acd9cc (commit) via b7e3581ba9ccf585f514a1e07567548babef119c (commit) via 39f87a2be96319f5a1a776b1c6ae859cbaa3a145 (commit) via 512d8d2befb918820f5373a62389ea650930b70f (commit) via ea68870f398e0fa9a88e939956d59cf1ee870d03 (commit) via 9f1cd1a2da4e10653cf8f6844d9b2e59a3b5226f (commit) via 02f12d53de1ccf56c8129c63e1f1c7610c1ede2d (commit) via 1fb08aec00e063c15a73a9a150e5bf78b41665ad (commit) via 67c8fd78188c91edabfa0edf99d1e283d4316656 (commit) via fcde33c235fa5ba9e6cb35933f1cb1733c8a7276 (commit) via f54e94fe79b2576709dbf467bedf8cfe755e28e3 (commit) via 195b8a2c97d02be3bb1f0bd37106edebaa4e9ccd (commit) via 400935c3bbd286f3f686d6b0b361ebad1301f3dc (commit) via 35a623d75d89e3c579f6bac81fec063d73ac5729 (commit) via d52be39f4a7e6769f86e0bacb25cd030bcf5e968 (commit) via cfbe41c8adef92a26ebc26361d8ab280c77f887e (commit) via e55b40e9d224512d1ef6e6bc263b75d56e4d64e4 (commit) via 8f6bc56719382004e7715977a83c4c05a32c971b (commit) via c201b4b597055397d51d9b80f41b2f1e79c0fd17 (commit) via be8cb795383981e1870f6ebad1734d7bed41c5e4 (commit) via 37c7642831ac7cff6d40b7ff7c240eafc293ad72 (commit) via 01456f2e59a60398820fcaaa14494a0ca79b3bc6 (commit) via b2ab72cf0881136d1d166347cfba8cf59c41899c (commit) via 34521ccb0dd55c46d3ae5924f9be694f793a9387 (commit) via 954069ee016cc92b1ce25f335afd806c6ebc843d (commit) via a3878e76e9d07fef830a47d307e9a53d73877c29 (commit) via b498d9101ce7f0d6027020040618fcd5c8520733 (commit) via cdb12ef56cfa1953a57988be596c4d720badf85a (commit) via d99e7ae879feba252b4cb6ebbaf173bf31926d25 (commit) via 11e96b2b7ad7d256ac516f83a1e835114ee44697 (commit) via bd196e7a61b03f2ea7e5dcb0aecbd49d239d6390 (commit) via 0307ffdba59794c021a465c00b96da45fb12b157 (commit) via 3207577efbee830f3deb00624e3b654973abaddc (commit) via c72231e44e9fe3b9e4f2057bd5ee0ee8ac25f771 (commit) via 57f8ee76a145c65b2f72bc6f418ef7065f87c007 (commit) via 13cca21e05bffc0f4d89f1349e27740e9b52ad9e (commit) via aaca061b3d532b47edc473f7d3fcbc27207aa7b8 (commit) via e13121052d0f78e7cc079e3588a3973b81816d2a (commit) via c359a3da6eb9ed8d7d49e71a6da145a36d7e3dbc (commit) via 7c07ef6343ef845e92a18ea203e17992744cb78b (commit) via 14ab439ba841c6ba43566115ad92fc9522ac02b6 (commit) via c3fa85262a0586c06564f8a1dc7eccef900c486a (commit) via b0bee2113ed643c1c931511ada9ec1e2766c63b0 (commit) via b07c110f9c84461fc496eb4a862b10e6e8c1d22f (commit) via e578da3388dd1c86f4010efc1247ebb4824b53e3 (commit) via d0a4a5b2a9e8d88af8f90e2a617eea70000f07c6 (commit) via 48246fc491802387dce473f54713388d9678b94a (commit) via 5293b13dadeba5ace723770beb8f915fa39a50f1 (commit) via d86d913ca1d54a1abf036e1d0c9323682ede2740 (commit) via 089bfdf99fead8878c4490196a294e90fa4be9d1 (commit) via f47235fe61308fcb2dac30ccab24dee6443705f8 (commit) via 81508940e152fec4a68789f9afbffdce2af07730 (commit) via 207bc4f4dfcff58012857c7454a6d18b74610c60 (commit) via 45b99edf620ece5cfd6ff39e30cb8cd5a1462d9d (commit) via 3b1f9f3623e508376159e21a9b815a96df2fb8cc (commit) via f51737c40e98b9201af17aa3a579fe184f5c069f (commit) via 9df6e9ef9556d54d33b64e10e6045b9a2e317fd0 (commit) via 1e67c5ae58e588f62178be44df9956a610d66d88 (commit) via c5d906aef5076a46d0877e657031c218986aa3ca (commit) via df291e46ef15fe8d76c9f0de33e5d59530a2586d (commit) via 9da489bd794f888a81ef4ef83b750f949a414d7a (commit) via 85908f0628cc4d1fa3135db486bff9c62aa6c15c (commit) via 55b6f6cd727e8217279af19ca998a434553d69d4 (commit) via 1df62b5fdee66f4433d0a99be71aed1f46c90977 (commit) via 7e1d884aa93148e9c0c916df7886561e171831d5 (commit) via 1f50485d4f423811129966aa8b92c7a897fc86fd (commit) via a62eec74fa07690cea975bfc26182b3561360e50 (commit) via 7b8c9e330bc835cb0f578ca2b073f8b5effc46ba (commit) via ec2c501d47d18a316835cb39bb146ef6856458b1 (commit) via 8400dbb80e6d824bb97475252429c9b45181669f (commit) via a9d812d7aec2fe25ec417445ee3ccabdf76ad496 (commit) via 33cf850f729a4edbf55f6af1091393de558c2bcd (commit) via c2d0787d539509305cfe57543062eb9085cf7ea7 (commit) via 7f24d8a8240a8f42bfa789e5f2a5f90e60b499a9 (commit) via c210e06de2c2dc5932428048896951111cdfae22 (commit) via bdcfbfd0ad57f4d2e9d187e5d6f0239a9d4152bc (commit) via 5901c1d893c5c0358599cc4768aae0389f4a193b (commit) via 5cfe36b8ad569c0473764027323bda1db79eba42 (commit) via de4bc200262aacdccf96ecf2ffc186e2a4463ec2 (commit) via 5ac90ba7c112609521cc04b5b371c673b9fee73a (commit) via 36d7a1bdc35a937421f853ac734cb8d117ce5081 (commit) via a967ae9c1aaeb4a1bfda7808de7d78c589d3a69d (commit) via 18b32e3cf57ac02026599ce76e71a1d779b0a808 (commit) via 2e0e11bc91732db59fe04fca4f2dceff2bb4a2ad (commit) via deba9fa37e714c433efaf485f442a75bb1549ef2 (commit) via 9d61052c2591e321c2197a1ad3a47305656419b3 (commit) via d5d19a5927050f69125ccdbe8db8c61a761246a2 (commit) via 552c6321c4a1d4bb8ec2e2b07edc27bdfacfa414 (commit) via 1a434342f7d4ab982b23eecbdd6f4f3755b792a3 (commit) via 4add9f884dfb20e466b6c8125e3e53e6e9b68207 (commit) via 2a7ebb581393f27e314a5a4c69a4b1acaca72123 (commit) via f602c0d05cca27acbdd78c97582c5ed728591319 (commit) via 6d388522f216dbd257225e13071341e5d59114d0 (commit) via 9fd8824132f05a3a588e918d9bb74b5d1b43945d (commit) via 3089d094c1d908a1465004239b26233a8c85f6e8 (commit) via ed86b41d4b100256543b28b26ba6c4929e61a2bd (commit) via ec6c9892eca69f91762bcaba4bb3dda73869a24c (commit) via def629881c1a7a807c2b954242b43acd3b779a16 (commit) via 5c22522dc75c4dd621b6bef19a39b9a49cb6ca5e (commit) via 8f0e99311634a8b495a1bc87bf160bcfa12b407e (commit) via ff577da70c2f9064dce1abb1742fabe4d93edabb (commit) via 888079e753545d425041bc13c597767947703045 (commit) via 957f1845b55c2bc508760ca43d4ac4b8951fe09a (commit) via 98e0c48903ef4df5d986418ee70729d24ceae623 (commit) from c288d211d4f7ef022a27ea622d2aece06992aab1 (commit) Those revisions listed above that are new to this repository have not appeared on any other notification email. - Log ----------------------------------------------------------------- commit 6e6ef3179c9b7b10c3659800ab7cdf00e842cd1c Merge: c288d21 a4c6b41 Author: Jan Kratochvil Date: Tue Jun 7 22:58:05 2011 +0200 Merge remote-tracking branch 'gdb/master' into archer-jankratochvil-entryval Conflicts: gdb/dwarf2-frame.c gdb/dwarf2expr.c gdb/dwarf2expr.h gdb/dwarf2loc.c gdb/dwarf2read.c gdb/gdbtypes.h commit a4c6b4143733112295c6d359f0f2ac7489137ebc Author: Joel Brobecker Date: Tue Jun 7 19:50:07 2011 +0000 Fix build error on mips-irix (missing match_priority) A new field was recently added to struct bfd_target, and irix-core.c needs an update... bfd/ChangeLog: * irix-core.c (irix_core_vec): Add match_priority field. commit f8c852aa780f6b53c0576cfbcd326413a59680bc Author: Jan Kratochvil Date: Tue Jun 7 17:26:41 2011 +0000 gdb/ * cli/cli-cmds.c (shell_escape): Use waitpid. * rs6000-nat.c (exec_one_dummy_insn): Likewise. gdb/testsuite/ * gdb.base/async-shell.c: New file. * gdb.base/async-shell.exp: New file. commit 90ed58afe1256fbb9d8df73e90bb84c9c913f3f3 Author: Tristan Gingold Date: Tue Jun 7 12:31:03 2011 +0000 2011-06-07 Tristan Gingold * xcoffread.c (dwarf2_xcoff_names): New variable. (aix_process_linenos): Add a guard. (xcoff_symfile_finish): Free dwarf2. (xcoff_initial_scan): Add dwarf2 support. commit 23b1ca2a70632a3300117dbc82ef73db75f150e1 Author: Alan Modra Date: Tue Jun 7 00:00:05 2011 +0000 daily update commit 4d94e6000b0422ddd3a3d0ff17542e46c7e402b2 Author: gdbadmin Date: Tue Jun 7 00:00:02 2011 +0000 *** empty log message *** commit 566bcdbe9056e31964e0a04299dc3e8730c9f4e3 Author: Pedro Alves Date: Mon Jun 6 13:33:07 2011 +0000 2011-06-06 Pedro Alves gdb/testsuite/ * gdb.threads/pending-step.exp: Add more context to SIGTRAP match. commit d2ab7481bab242fa9beb5a8272fe783278c717c0 Author: Pedro Alves Date: Mon Jun 6 12:47:05 2011 +0000 2011-06-06 Pedro Alves gdb/ * infcall.c (run_inferior_call): Don't mask async. Instead force a synchronous wait, if the target can async. * target.h (struct target_ops): Delete to_async_mask. (target_async_mask): Delete. * target.c (update_current_target): Delete references to to_async_mask. * linux-nat.c (linux_nat_async_mask_value): Delete. (linux_nat_is_async_p, linux_nat_can_async_p): Remove references to linux_nat_async_mask_value. (linux_nat_async_mask): Delete. (linux_nat_async, linux_nat_close): Remove references to linux_nat_async_mask_value. * record.c (record_async_mask_value): Delete. (record_async): Remove references to record_async_mask_value. (record_async_mask): Delete. (record_can_async_p, record_is_async_p): Remove references to record_async_mask_value. (init_record_ops, init_record_core_ops): Remove references to record_async_mask. * remote.c (remote_async_mask_value): Delete. (init_remote_ops): Remove reference to remote_async_mask. (remote_can_async_p, remote_is_async_p): Remove references to remote_async_mask_value. (remote_async): Remove references to remote_async_mask_value. (remote_async_mask): Delete. * infrun.c (fetch_inferior_event): Don't claim registers changed if the current thread is already not executing. commit e1133e8a8ffc55105d7489b507559536577c515a Author: Nick Clifton Date: Mon Jun 6 10:36:06 2011 +0000 Sync from upstream: 2011-06-03 Nick Clifton Ben Elliston * config.sub (v850e1, v850es, v850e2, v850e2v3): New. 2011-05-30 Chris Metcalf Ben Elliston * config.guess (tile*:Linux:*:*): Use vendor "unknown", not "tilera", for consistency with other architectures. * config.sub (tile*-*, tilegx-*): Use a more general pattern for "tile" to allow matching tilepro, tilegx32 and other variants. commit 057a947749d6e63a9e380b80274357b5923b386f Author: Alan Modra Date: Mon Jun 6 01:25:59 2011 +0000 * targets.c (bfd_target): Make ar_max_namelen an unsigned char. Add match_priority. * configure.in: Bump bfd version. * elfcode.h (elf_object_p): Delete hacks preventing match of EM_NONE and ELFOSABI_NONE targets when a better match exists. * elfxx-target.h (elf_match_priority): Define and use. * format.c (bfd_check_format_matches): Use target match_priority to choose best of multiple matching targets. In cases with multiple matches rerun _bfd_check_format if we don't choose the last match. * aout-adobe.c, * aout-arm.c, * aout-target.h, * aout-tic30.c, * binary.c, * bout.c, * coff-alpha.c, * coff-i386.c, * coff-i860.c, * coff-i960.c, * coff-ia64.c, * coff-mips.c, * coff-or32.c, * coff-ppc.c, * coff-rs6000.c, * coff-sh.c, * coff-tic30.c, * coff-tic54x.c, * coff-x86_64.c, * coff64-rs6000.c, * coffcode.h, * i386msdos.c, * i386os9k.c, * ieee.c, * ihex.c, * mach-o-target.c, * mipsbsd.c, * mmo.c, * nlm-target.h, * oasys.c, * pdp11.c, * pe-mips.c, * pef.c, * plugin.c, * ppcboot.c, * som.c, * srec.c, * tekhex.c, * trad-core.c, * verilog.c, * versados.c, * vms-alpha.c, * vms-lib.c, * xsym.c: Init match_priority field. * configure: Regenerate. * bfd-in2.h: Regenerate. commit 2ece73a482778b13e84d8d79e92f00a20ee65d34 Author: gdbadmin Date: Mon Jun 6 00:00:33 2011 +0000 *** empty log message *** commit 04351cba945af33368c50f54cbfd85d0da1dcce1 Author: Alan Modra Date: Mon Jun 6 00:00:05 2011 +0000 daily update commit d906de3bd973c9b0b1dcfd101c2041b30efc7068 Author: Mike Frysinger Date: Sun Jun 5 21:32:34 2011 +0000 sim: bfin: add missing gitignore file commit fd2c06813b72628b87cdf3a26c83b4a1677a5781 Author: gdbadmin Date: Sun Jun 5 00:00:33 2011 +0000 *** empty log message *** commit 0a988f86ebf30f057ee16b5d407f58ba38003967 Author: Alan Modra Date: Sun Jun 5 00:00:05 2011 +0000 daily update commit fe83dcdb4b7ab6f897460903305dd336bde3635e Author: H.J. Lu Date: Sat Jun 4 18:16:16 2011 +0000 Revert the last change on elf_object_p. 2011-06-04 H.J. Lu PR ld/12842 * elfcode.h (elf_object_p): Revert the last change. commit e9d1051c8c4d7f5f1543663319ebbc9a85124db7 Author: Mike Frysinger Date: Sat Jun 4 17:44:20 2011 +0000 sim: bfin: import testsuite Now that the common sim testsuite code supports .S and .c files, we can import the Blackfin testsuite. There are about ~800 tests here, so I'm only attaching a compressed patch of them. Other than adding files to sim/testsuite/sim/bfin/, the sim/configure.tgt file was updated to mark Blackfin as having a testsuite, and sim/configure regenerated. Signed-off-by: Mike Frysinger commit 4e27712e560a52f3c5bb617af8d0c7e22e54bd8b Author: Mike Frysinger Date: Sat Jun 4 17:18:04 2011 +0000 sim: bfin: add support for glued SIC interrupt lines The BF537 family glues a bunch of peripherals into single interrupt lines that run into the SIC. To model this same behavior in the sim, we need to use the glue-or device, and in order to use that, we need to tweak things a bit in the mach code to allow declaring of these new devices. Signed-off-by: Mike Frysinger commit c1aa50354b133be073be1de53cc342da9a77f003 Author: Mike Frysinger Date: Sat Jun 4 17:11:19 2011 +0000 sim: bfin: push SIC mappings to device tree The machs.c file is the best place for holding cpu-specific details, so restructure the way the SIC manages its ports to do just that. Now the SIC's have a standard set of input pins and the different line routing from peripherals is kept in the device tree only. This better models the hardware where the SIC doesn't care about the exact peripheral that is sending it stuff, just which input pin it gets it on. Signed-off-by: Mike Frysinger commit f02360a7c4836cb874e428cdb20c6e506b8f3f94 Author: Alan Modra Date: Sat Jun 4 04:07:52 2011 +0000 * archures.c (bfd_arch_get_compatible): If one arch is unknown, return the other arch. * elfcode.h (elf_object_p): Allow explicit match to generic ELF target. commit b6b2c802d9d6d67548ab24c517e5e1bc83d83ab1 Author: Alan Modra Date: Sat Jun 4 00:00:05 2011 +0000 daily update commit 42080002c7e83b6e2b7d4de2fd89fc16fe4fc6b4 Author: gdbadmin Date: Sat Jun 4 00:00:02 2011 +0000 *** empty log message *** commit d6021a936a62b6d45c41bb23e7c7bbf031a0f7e0 Author: Joel Brobecker Date: Fri Jun 3 23:47:44 2011 +0000 Various spelling fixes. gdb/ChangeLog: From Stephen Kitt * breakpoint.c, breakpoint.h, cli/cli-dump.c, dwarf2expr.c, gdbarch.c, gdbarch.sh, remote.c: Various spelling fixes. gdb/testsuite/ChangeLog: From Stephen Kitt * gdb.base/help.exp: Adjust following some spelling corrections in GDB. commit 32f8fc382e25a0f7c4c0b81d6b92051d5e427242 Author: Joel Brobecker Date: Fri Jun 3 23:47:04 2011 +0000 Spelling fixe in sim/ppc/vm.c From Stephen Kitt * vm.c (vm_synchronize_context): Spelling fix in function documentation. commit 8bd6924d85743a64f66965aa26f372f6c538fc4e Author: Joel Brobecker Date: Fri Jun 3 23:46:46 2011 +0000 Minor spelling fix in ChangeLog. commit 880f85ce5922f221bd4bea9f5d8b18ccf0cc669d Author: Joel Brobecker Date: Fri Jun 3 23:46:25 2011 +0000 Minor spelling fix. commit e7ee35bacc0e587d4dfe04820185c2f38661b856 Author: qneill Date: Fri Jun 3 20:06:19 2011 +0000 Add CpuF16C to CPU_BDVER2_FLAGS. opcodes/ 2011-06-02 Quentin Neill * i386-gen.c (cpu_flag_init): Add CpuF16C to CPU_BDVER2_FLAGS. * i386-init.h: Regenerated. commit e24bf762cbfd8331e9bb8b0f9ea8c6fadcd4b7b5 Author: Joel Brobecker Date: Fri Jun 3 17:42:21 2011 +0000 address size can be different from DW_OP_deref size gdb/ChangeLog: * dwarf2expr.c (execute_stack_op) [DW_OP_deref]: Handle the case where ADDR_SIZE is different from TYPE_LENGTH (type). commit 79e212f34bcbc348aa1a8499d792164b179415d3 Author: Nick Clifton Date: Fri Jun 3 16:16:28 2011 +0000 PR ld/12682 * hash.c (higher_primer_number): Add more, small, prime numbers. (bfd_hash_set_default_size): Likewise. commit 69daa0ca6b3aef6e2f6b6cc941ce790001c8f8c2 Author: Tom Tromey Date: Fri Jun 3 15:32:44 2011 +0000 gdb * python/py-inferior.c (python_inferior_exit): Use inferior's exit code fields. * python/py-exitedevent.c (create_exited_event_object): Change type of 'exit_code'. Optionally add exit_code attribute. (emit_exited_event): Change type of 'exit_code'. * python/py-event.h (emit_exited_event): Update. * mi/mi-interp.c (mi_inferior_exit): Print exit code. * infrun.c (handle_inferior_event): Set exit code fields on inferior. * inferior.h (struct inferior) : New fields. * inferior.c (exit_inferior_1): Initialize new fields. gdb/doc * gdb.texinfo (GDB/MI Async Records): Document 'exit-code' field. (Events In Python): Note that exit_code is optional. commit 00a69a4bc9472e28ea8a13e23af8b9e59f955c43 Author: Tom Tromey Date: Fri Jun 3 14:57:27 2011 +0000 * dwarf2expr.c (get_signed_type): New function. (execute_stack_op) : Always perform a signed shift. commit a876f5f5511df1b28c75e1a951831d78bcc3170f Author: Nick Clifton Date: Fri Jun 3 10:11:06 2011 +0000 oops - spelling fixes accidentally omitted from previous delta. commit f1b9695631accf56d7c4d923e814ba0a2fbc3cde Author: Nick Clifton Date: Fri Jun 3 10:04:03 2011 +0000 PR binutils/12752 * arm-dis.c (print_insn_coprocessor): Use bfd_vma type for computing address offsets. (print_arm_address): Likewise. (print_insn_arm): Likewise. (print_insn_thumb16): Likewise. (print_insn_thumb32): Likewise. commit 538ee6834ae5cad3102fc713eda4fad02aaca79d Author: Mike Frysinger Date: Fri Jun 3 05:03:31 2011 +0000 sim: bfin: dma: fix indentation commit 4597e3e878bfc6f0c2230ed50d055808df26529b Author: Alan Modra Date: Fri Jun 3 00:00:06 2011 +0000 daily update commit 276266975b07b77de4bf7ec235e50bd61d46be80 Author: gdbadmin Date: Fri Jun 3 00:00:03 2011 +0000 *** empty log message *** commit e19080df1d502deede4535c302971847b699da2c Author: Keith Seitz Date: Thu Jun 2 18:43:59 2011 +0000 * objc-lang.c (find_methods): Increment objfile_csym earlier. commit 14ffe183d5b83db89893cb9831f41e91524e19eb Author: Nathan Sidwell Date: Thu Jun 2 15:32:10 2011 +0000 gas/ * config/tc-arm.c (parse_address_main): Handle -0 offsets. (encode_arm_addr_mode_2): Set default sign of zero here ... (encode_arm_addr_mode_3): ... and here. (encode_arm_cp_address): ... and here. (md_apply_fix): Use default sign of zero here. gas/testsuite/ * gas/arm/inst.d: Adjust for signed zero offsets. * gas/arm/ldst-offset0.d: New test. * gas/arm/ldst-offset0.s: New test. * gas/arm/offset-1.d: New test. * gas/arm/offset-1.s: New test. ld/testsuite/ Adjust tests for zero offset formatting. * ld-arm/cortex-a8-fix-bcc-plt.d: Adjust. * ld-arm/farcall-arm-arm-pic-veneer.d: Adjust. * ld-arm/farcall-arm-thumb.d: Adjust. * ld-arm/farcall-group-size2.d: Adjust. * ld-arm/farcall-group.d: Adjust. * ld-arm/farcall-mix.d: Adjust. * ld-arm/farcall-mix2.d: Adjust. * ld-arm/farcall-mixed-lib-v4t.d: Adjust. * ld-arm/farcall-mixed-lib.d: Adjust. * ld-arm/farcall-thumb-arm-blx-pic-veneer.d: Adjust. * ld-arm/farcall-thumb-arm-pic-veneer.d: Adjust. * ld-arm/farcall-thumb-thumb.d: Adjust. * ld-arm/ifunc-10.dd: Adjust. * ld-arm/ifunc-3.dd: Adjust. * ld-arm/ifunc-4.dd: Adjust. * ld-arm/ifunc-5.dd: Adjust. * ld-arm/ifunc-6.dd: Adjust. * ld-arm/ifunc-7.dd: Adjust. * ld-arm/ifunc-8.dd: Adjust. * ld-arm/jump-reloc-veneers-long.d: Adjust. * ld-arm/tls-longplt-lib.d: Adjust. * ld-arm/tls-thumb1.d: Adjust. opcodes/ * arm-dis.c (print_insn_coprocessor): Explicitly print #-0 as address offset. (print_arm_address): Likewise. Elide positive #0 appropriately. (print_insn_arm): Likewise. commit dd012f61b2b43d8200d94f067984ca368bd430e7 Author: Pedro Alves Date: Thu Jun 2 14:02:24 2011 +0000 2011-06-02 Pedro Alves gdb/ * top.h (simplified_command_loop): Delete declaration. commit a201aa13ac05b7a2dd238ef59f49a9c64004224b Author: Nick Clifton Date: Thu Jun 2 13:43:13 2011 +0000 Fix spelling mistakes. commit 915afe34a3e2f04207eeb8c7d42958be83dbfdf5 Author: DJ Delorie Date: Thu Jun 2 00:51:18 2011 +0000 * config.bfd: Add bfd_elf32_rx_be_ns_vec. * target.c: Likewise. * configure.in: Likewise. * configure.in: Regenerate. * elf32-rx.c: Add elf32-rx-be-ns target. (rx_elf_object_p): Never allow the be-ns target by default, only allow it if the user requests it. commit 2bda0fe0775f5913b02526261b9a299b9932c097 Author: Alan Modra Date: Thu Jun 2 00:00:05 2011 +0000 daily update commit 480b0cd2ceebddfb3b802a1159846ef61fc943a0 Author: gdbadmin Date: Thu Jun 2 00:00:03 2011 +0000 *** empty log message *** commit 369e11e316aebe8e3696baf6da2feeafd940e915 Author: H.J. Lu Date: Wed Jun 1 19:42:00 2011 +0000 Properly warn relocation in readonly section in a shared object. 2011-06-01 H.J. Lu * elf32-i386.c (elf_i386_size_dynamic_sections): Properly warn relocation in readonly section in a shared object. * elf64-x86-64.c (elf_x86_64_size_dynamic_sections): Likewise. commit 67ea3524cb23fea4892d8d0e7cfc1efc7bb9adf6 Author: Joel Brobecker Date: Wed Jun 1 17:35:02 2011 +0000 Add `sim_complete_command' definition to erc32 sim This patch fixes a build failure at link time due to sim_complete_command being undefined. There was a recent change that added this function to all the ports that do not use the common/ subdir. But somehow, the erc32 port got missed. sim/erc32/ChangeLog: * interf.c (sim_complete_command): New stub function. commit 5a0b6590455eb56bdbf44618fbc25fbd8fb0efc5 Author: qiyao Date: Wed Jun 1 15:55:54 2011 +0000 2011-06-01 Yao Qi * gdb.base/ending-run.exp: Match __uClibc_main for uClibc. commit 926e38065f8a9c87981ecb55f08080f677ab2455 Author: Mike Frysinger Date: Wed Jun 1 15:29:06 2011 +0000 gdb: sim: automatically pass down sysroot Since gdb sets up a nice sysroot path for us by default, automatically pass it down to the sim target so it too gets a good default. This does not override anything the user explicitly specifies of course. Signed-off-by: Mike Frysinger commit fbd18a3e2a04a161758f771a64f49e70fbcbd6c4 Author: qiyao Date: Wed Jun 1 14:46:21 2011 +0000 2011-06-01 Yao Qi * objfiles.h (obj_section_addr): Update reference to objfile from `abfd' to `obfd'. (obj_section_endaddr): Likewise. commit dbed69e4016c4f107faa5e3f4ff44f5b58181d75 Author: Daniel Jacobowitz Date: Wed Jun 1 11:09:15 2011 +0000 Really correct email address. commit 43ff4218ca2a32bb73911cbdf3109f12eee68248 Author: Daniel Jacobowitz Date: Wed Jun 1 11:03:56 2011 +0000 * MAINTAINERS: Update my email address and affiliation. Also update Ian Lance Taylor's affiliation. Use UTF-8 for ludo@gnu.org. commit fcc121ab82c978a1f81a394de2573d32eedcd103 Author: gdbadmin Date: Wed Jun 1 00:00:03 2011 +0000 *** empty log message *** commit f0dc081132d2229a97b5864266ba18299fc8033f Author: Keith Seitz Date: Tue May 31 22:14:20 2011 +0000 PR c++/12750 * gdb.cp/static-method.cc: New file. * gdb.cp/static-method.exp: New file. commit 835cf7647bf08d33e2c7286b45658cc58704b363 Author: Keith Seitz Date: Tue May 31 22:13:51 2011 +0000 PR c++/12750 * linespec.c (get_search_block): New function. (find_methods): Add FILE_SYMTATB parameter and use it and get_search_block to pass an appropriate block to lookup_symbol_in_namespace. (decode_line_1): Record if *ARGPTR is single-quote enclosed. Check if *ARGPTR starts with a filename first. If it does, call locate_first_half again to locate the next "first half" of the linespec. Pass FILE_SYMTATB to decode_objc and decode_compound. Swallow the trailing single-quote if IS_SQUOTE_ENCLOSED. (locate_first_half): Stop on the first colon seen. (decode_compound): Add FILE_SYMTAB parameter. Pass FILE_SYMTAB to lookup_prefix_sym and find_method. (lookup_prefix_sym): Add FILE_SYMTAB parameter and use get_search_block with lookup_symbol. (find_method): Add FILE_SYMTAB parameter and pass it to find_methods. (decode_objc): Use get_search_block. commit 8c89a7cf6296b10d04204981eef69181901bb9a5 Author: Keith Seitz Date: Tue May 31 21:54:48 2011 +0000 PR symtab/12704 * gdb.cp/anon-ns.cc: New file. * gdb.cp/anon-ns.exp: New file. commit f658463559c9f03672b0fd226e4941f159e65bc0 Author: Keith Seitz Date: Tue May 31 21:54:06 2011 +0000 PR symtab/12704 * cp-namespace.c (ANONYMOUS_NAMESPACE_LEN): Remove. (cp_scan_for_anonymous_namespaces): Use CP_ANONYMOUS_NAMESPACE_STR and CP_ANONYMOUS_NAMESPACE_LEN. (cp_is_anonymous): Likewise. * cp-support.h (CP_ANONYMOUS_NAMESPACE_STR): Define. (CP_ANONYMOUS_NAMESPACE_LEN): Define. * dwarf2read.c (namespace_name): Likewise. (fixup_partial_die): Likewise. * linespec.c (decode_compound): If CP_ANONYMOUS_NAMESPACE_STR is seen in the input, keep it. commit b96c1e44204ac4aacd3ab8a5e6a8ab9405ad3832 Author: Pedro Alves Date: Tue May 31 21:18:56 2011 +0000 2011-05-31 Pedro Alves gdb/gdbserver/ * linux-x86-low.c (i386_dr_low_get_addr): Fix off by one in assertion. * win32-i386-low.c (i386_dr_low_get_addr): Ditto. gdb/testsuite/ * gdb.arch/i386-dr3-watch.c: New file. * gdb.arch/i386-dr3-watch.exp: New file. commit 25275c5a6899eea4f981e364c70f764866e722ee Author: Nick Clifton Date: Tue May 31 14:27:39 2011 +0000 * archive.c (adjust_relative_path): Fix comment to prevent it corrupting the auto-generated bfd.h. commit f1666ba44fb3da7711d2aa5767bad73c1de3bba0 Author: Paul Brook Date: Tue May 31 14:12:55 2011 +0000 2011-05-31 Paul Brook gas/ * config/tc-arm.c (arm_cpus): Add Cortex-R5. (arm_extensions): Allow idiv on ARMv7-R. * doc/c-arm.text: Update idiv extension restrictions. gas/testsuite/ * gas/arm/arm-idiv-bad.d: New test. * gas/arm/arm-idiv-bad.s: New test. * gas/arm/arm-idiv-bad.l: New test. * gas/arm/arm-idiv.d: New test. * gas/arm/arm-idiv.s: New test. include/ * opcode/arm.h (ARM_ARCH_V7R_IDIV): Define. commit 8dbc67a4ba883a79e955459e24a665b9f916abc1 Author: Paul Brook Date: Tue May 31 14:07:56 2011 +0000 2011-05-31 Paul Brook bfd/ * elf32-arm.c (elf32_arm_final_link_relocate): Only do bl conversion for known functions. (elf32_arm_swap_symbol_in): Only set ST_BRANCH_TO_ARM for function symbols. include/elf/ * arm.h (arm_st_branch_type): Add ST_BRANCH_UNKNOWN. ld/testsuite/ * ld-arm/cortex-a8-far.d: Adjust expected output. * ld-arm/arm-call1.s: Give function symbol correct type. * ld-arm/arm-call2.s: Ditto. * ld-arm/farcall-group4.s: Ditto. * ld-arm/arm-elf.exp (cortex-a8-far): Define far symbols with correct type via assembly file. * ld-arm/cortex-a8-far-3.s: New file. * ld-arm/abs-call-1.s: Add Thumb tests commit 0280b9139093f51dba98e1cb1aee79149ef0ef34 Author: Paul Brook Date: Tue May 31 13:37:26 2011 +0000 2011-05-31 Paul Brook bfd/ * elf32-arm.c (arm_stub_is_thumb): Add arm_stub_long_branch_v4t_thumb_tls_pic. (elf32_arm_final_link_relocate): TLS stubs are always ARM. Handle Thumb stubs. ld/testsuite/ * ld-arm/tls-longplt.d: Update expected output. * ld-arm/tls-thumb1.d: Ditto. commit 036e796cbf39139e7a28c5ba9a90ee221c3d980c Author: gdbadmin Date: Tue May 31 00:00:32 2011 +0000 *** empty log message *** commit 8497eeb3a57739e12fefd849c6875351a3ec5e0f Author: Alan Modra Date: Tue May 31 00:00:05 2011 +0000 daily update commit 3012e19fba0bb953f43960628c9b783bb71d80ae Author: Pedro Alves Date: Mon May 30 21:16:35 2011 +0000 2011-05-30 Pedro Alves gdb/ * target.h (enum inferior_event_type): Delete INF_QUIT_REQ. * inf-loop.h (inferior_event_handler_wrapper): Delete. * inf-loop.c (inferior_event_handler_wrapper): Delete. (inferior_event_handler): Don't handle INF_QUIT_REQ. * remote.c (_initialize_remote): Register async_remote_interrupt_twice directly as sigint_remote_twice_token event. commit 58524558dd13ec7f109c027c99aecbd6b68921c6 Author: Pedro Alves Date: Mon May 30 20:54:24 2011 +0000 2011-05-30 Pedro Alves gdb/ * target.h (enum inferior_event_type): Delete INF_ERROR. * inf-loop.c (inferior_event_handler): Don't handle INF_ERROR. commit f7f78b5195ad1dbf855f392c099cab7fbc54d5bf Author: Pedro Alves Date: Mon May 30 20:13:29 2011 +0000 2011-05-30 Pedro Alves gdb/ * interps.c (interp_set): Don't cancel continuations. commit a1095d0965336399dbf31f3588ce8eaa67655de9 Author: Jan Kratochvil Date: Mon May 30 19:26:36 2011 +0000 gdb/ * linux-nat.c (linux_lwp_is_zombie): Use xsnprintf. commit 9d5f08d6f93ad091e5b0733c299f7eb1c7a94abd Author: Pedro Alves Date: Mon May 30 18:04:31 2011 +0000 2011-05-30 Pedro Alves gdb/ * continuations.h (continuation_ftype): Add `err' parameter. Document parameters. (do_all_continuations, do_all_continuations_thread) (do_all_intermediate_continuations) (do_all_intermediate_continuations_thread) (do_all_inferior_continuations): Add `err' parameter. * continuations.c (do_my_continuations_1, do_my_continuations) (do_all_inferior_continuations, do_all_continuations_ptid) (do_all_continuations_thread_callback) (do_all_continuations_thread, do_all_continuations) (do_all_intermediate_continuations_thread_callback) (do_all_intermediate_continuations_thread) (do_all_intermediate_continuations): Add `err' parameter, and pass it down all the way to the continuations proper. * inf-loop.c (inferior_event_handler): If fetching an inferior event throws an error, don't pop the target, and still call the continuations, but with `err' set. Adjust all other continuation calls. * breakpoint.c (until_break_command_continuation): Add `err' parameter. * infcmd.c (step_1_continuation): Add `err' parameter. Don't issue another step if `err' is set. (struct until_next_continuation_args): New. (until_next_continuation): Add `err' parameter. Adjust. (until_next_command): Adjust. (struct finish_command_continuation_args): Add `thread' field. (finish_command_continuation): Add `err' parameter. Handle it. (finish_forward): Adjust. (attach_command_continuation): Add `err' parameter. Handle it. * infrun.c (infrun_thread_stop_requested_callback): Adjust to cancel the continuations. * interps.c (interp_set): Adjust to cancel the continuations. * thread.c (clear_thread_inferior_resources): Adjust to cancel the continuations rather than discarding. (free_thread): Don't clear thread inferior resources here. (delete_thread_1): Do it here instead. And do it before removing the thread from the threads list. Tag the thread as exited before clearing thread inferior resources. commit 91418c4d96655bf2d620040bab9d4b04eca31683 Author: Joel Brobecker Date: Mon May 30 16:08:27 2011 +0000 Rephrase error message in infcall.c:call_function_by_hand No real change besides the error message. gdb/ChangeLog: * infcall.c (call_function_by_hand): Rephrase error message. commit 08af17fe8d80b3cffdc819f3cf122c4a5a56e9bc Author: qiyao Date: Mon May 30 02:51:57 2011 +0000 2011-05-30 Yao Qi * gdb.base/callfuncs.c (t_structs_fc): New. (t_structs_dc, t_structs_ldc): New. (t_double_many_args): (DEF_FUNC_MANY_ARGS_1, DEF_FUNC_MANY_ARGS_2): Define. (DEF_FUNC_MANY_ARGS_3, DEF_FUNC_VALUES_1): Define. (DEF_FUNC_VALUES_2, DEF_FUNC_VALUES_3): Define. * gdb.base/callfuncs.exp: Call new functions. commit 6da81da76a02b50b635495a6b5e63bc0a4bcb35a Author: qiyao Date: Mon May 30 02:32:21 2011 +0000 2011-05-30 Yao Qi * gdb.base/callfuncs.exp (rerun_and_prepare): New. Call rerun_and_prepare for each test to isolate effects. commit f58d672f00e79435cb9e94ce3f03797bf3c7d467 Author: qiyao Date: Mon May 30 02:27:51 2011 +0000 2011-05-30 Yao Qi * gdb.base/varargs.c (find_max_float_real): New. (find_max_double_real, find_max_long_double_real): New. * gdb.base/varargs.exp: Call these new added functions. * lib/gdb.exp (setup_kfail_for_target): New. commit 69484510991736a43cd2dd3639993f3a8fb7fa23 Author: qiyao Date: Mon May 30 02:20:15 2011 +0000 2011-05-30 Yao Qi * gdb.base/funcargs.c (callca, callcb, callcc): New. (callcd, callce, callcf, callc1a, callc1b): New. (callc2a, callc2b): New. * gdb.base/funcargs.exp (complex_args): New. (complex_integral_args, complex_float_integral_args): New. * lib/gdb.exp (support_complex_tests): New. Determine whether to run test cases on _Complex types. commit b042ae7feda15f893fb5739acf31ca25bf2dd946 Author: Alan Modra Date: Mon May 30 00:00:05 2011 +0000 daily update commit 56dc5ceb8c846ea50a83cc51de8687c5603e3846 Author: gdbadmin Date: Mon May 30 00:00:03 2011 +0000 *** empty log message *** commit 7762f465cebf91dc11b253953c84f606ae1af3b1 Author: gdbadmin Date: Sun May 29 00:00:32 2011 +0000 *** empty log message *** commit f5196d076011d4c432d1a12b09f04f1fdbbfefb4 Author: Alan Modra Date: Sun May 29 00:00:06 2011 +0000 daily update commit 4336c7a2c44d34e114d2b2ca15bb608a05c23efa Author: gdbadmin Date: Sat May 28 00:00:32 2011 +0000 *** empty log message *** commit 1c463e3cebc2d04ff0e77b0ec04ae7906ef254f2 Author: Alan Modra Date: Sat May 28 00:00:05 2011 +0000 daily update commit 2825bb9e506bf3cd5bc3e01bb414c8afd0f86ea6 Author: Pedro Alves Date: Fri May 27 18:39:49 2011 +0000 2011-05-27 Pedro Alves gdb/ * defs.h (struct thread_info, struct inferior): Delete forward declarations. * breakpoint.h (struct thread_info): New forward declaration. * observer.sh (struct inferior): New forward declaration. * python/python-internal.h (struct inferior): New forward declaration. commit 811ca069a7ef381ce2f59756f48bcc7dcdcaa258 Author: Pedro Alves Date: Fri May 27 18:26:15 2011 +0000 2011-05-27 Pedro Alves * defs.h (struct continuation, continuation_ftype) (continuation_free_arg_ftype, add_continuation) (do_all_continuations, do_all_continuations_thread) (discard_all_continuations, discard_all_continuations_thread) (add_intermediate_continuation, do_all_intermediate_continuations) (do_all_intermediate_continuations_thread) (discard_all_intermediate_continuations) (discard_all_intermediate_continuations_thread) (add_inferior_continuation, do_all_inferior_continuations) (discard_all_inferior_continuations): Move to ... * continuations.h: ... this new file. * breakpoint.c, continuations.c, event-top.c, inf-loop.c, infcmd.c, inferior.c, infrun.c, interps.c: Include continuations.h. commit 578efa3a315d4844ad34833fd72c5a42b3203643 Author: Mike Frysinger Date: Fri May 27 18:05:16 2011 +0000 sim: fix minor --sysroot mem leak The current --sysroot parsing attempts to keep from leaking memory by treating the empty string specially (sine this is the initial value), but it ends up leaking memory when the arg is an empty string. So if someone uses --sysroot "", the old value is leaked, as is the new one. Signed-off-by: Mike Frysinger commit 159c2cd07096bdc779ebf3ad8286a74054c49f35 Author: Jan Kratochvil Date: Fri May 27 16:55:37 2011 +0000 gdb/ Fix PR 10970, PR 12702. * linux-nat.c (linux_lwp_is_zombie): New function. (wait_lwp): Initialize status. New variable prev_mask. Block signals. Check for linux_lwp_is_zombie. Use WNOHANG and sigsuspend. gdb/testsuite/ * gdb.threads/leader-exit.c: New file. * gdb.threads/leader-exit.exp: New file. commit ddb8e278df4280325c3670159d81379313d675a2 Author: Pedro Alves Date: Fri May 27 14:56:14 2011 +0000 2011-05-27 Pedro Alves gdb/ * defs.h (continuation_ftype, continuation_free_arg_ftype): New typedefs. (add_continuation, add_intermediate_continuation) (add_inferior_continuation): Use them. * continuations.c (struct continuation): Use them. (make_continuation_ftype): Delete. (make_continuation, add_inferior_continuation, add_continuation) (add_intermediate_continuation): Use continuation_ftype and continuation_free_arg_ftype. Rename parameters to shorter names. commit 9025df5be4c8ae06fbc040d4123375049f3012d8 Author: Pedro Alves Date: Fri May 27 14:32:06 2011 +0000 2011-05-27 Pedro Alves gdb/ * continuations.c (make_continuation): Make it return void. (do_my_continuations): Rename to ... (do_my_continuations_1): ... this. Remove old_chain parameter and adjust. (do_my_continuations): New. (discard_my_continuations): Rename to ... (discard_my_continuations_1): ... this. Remove old_chain parameter and adjust. (discard_my_continuations): New. (add_inferior_continuation): Simplify. (do_all_inferior_continuations): Reimplement on top do_my_continuations. (discard_all_inferior_continuations): Simplify. (add_continuation): Simplify. (do_all_continuations_ptid): Simplify. (discard_all_continuations_thread_callback): Simplify. (add_intermediate_continuation): Simplify. (discard_all_intermediate_continuations_thread_callback): Simplify. commit dd76f0447f9920cd958bc504b364dac2c9abd454 Author: mpolacek Date: Fri May 27 14:16:43 2011 +0000 gdb.mi/mi-nonstop.exp: Replace gdb_test_multiple with mi_gdb_test. commit ed7cc0ae2782f8cf2a237d2a6b63419a1becef8c Author: Pedro Alves Date: Fri May 27 14:00:20 2011 +0000 2011-05-27 Pedro Alves gdb/ * utils.c (struct continuation, add_continuation) (add_inferior_continuation) (do_all_inferior_continuations, discard_all_inferior_continuations) (restore_thread_cleanup, do_all_continuations_ptid) (do_all_continuations_thread_callback) (do_all_continuations_thread, do_all_continuations) (discard_all_continuations_thread_callback) (discard_all_continuations_thread, discard_all_continuations) (add_intermediate_continuation) (do_all_intermediate_continuations_thread_callback) (do_all_intermediate_continuations_thread) (do_all_intermediate_continuations) (discard_all_intermediate_continuations_thread_callback) (discard_all_intermediate_continuations_thread) (discard_all_intermediate_continuations): Move to ... * continuations.c: ... this new file, and adjust to no longer implement continuations on top of cleanups. * Makefile.in (SFILES): Add continuations.c. (COMMON_OBS): Add continuations.o. commit a939fbd5770b32e615f32908aeb7dd1b691745ba Author: mpolacek Date: Fri May 27 12:47:09 2011 +0000 gdb.mi: Get rid of the non-stop testcases. commit bffd2056646e0abbb1611f8eea48d26fc973a948 Author: Nick Clifton Date: Fri May 27 10:52:30 2011 +0000 PR binutils/12710 * archive.c (_bfd_get_elt_at_filepos): Set correct error value if unable to read a file pointed to by an entry in a thin archive. (adjust_relative_path): Use lrealpath to canonicalize paths. Handle the case where the reference path is above the current path in the directory tree. commit 3b12013585b91f8450012e5ee719caab07aeb49b Author: Alan Modra Date: Fri May 27 00:00:35 2011 +0000 daily update commit 7cb8edf238a1c57556d986ef0ece3aa8d03b5fff Author: gdbadmin Date: Fri May 27 00:00:33 2011 +0000 *** empty log message *** commit 24c0ac3a5da34fbcb31b5dd5d55723de7ffe57a8 Author: Pedro Alves Date: Thu May 26 18:23:31 2011 +0000 2011-05-26 Pedro Alves gdb/ * inferior.h (enum exec_direction_kind): Delete EXEC_ERROR. * infrun.c (show_exec_direction_func): Don't handle EXEC_ERROR. Internal error on invalid values. * reverse.c: Don't handle EXEC_ERROR. * mi/mi-main.c: Don't handle EXEC_ERROR. commit b25d1792d6f246dd4675e64c2d5c051c0ed03811 Author: Mike Frysinger Date: Thu May 26 18:20:13 2011 +0000 sim: common: add back Blackfin syscalls A semi-recent change which regenerated nltvals.def somehow missed all of the Blackfin syscalls. So regenerate against the latest tree to get them back. Signed-off-by: Mike Frysinger commit 44b67f6b464ec456793182183b3b47175d7d602e Author: Pedro Alves Date: Thu May 26 18:11:32 2011 +0000 2011-05-26 Pedro Alves gdb/ * record.c: Include event-loop.h, inf-loop.h. (record_beneath_to_async): New global. (tmp_to_async): New global. (record_async_inferior_event_token): New global. (record_open_1): Don't error out if async is enabled. (record_open): Handle to_async. Create an async event source in the event loop. (record_close): Delete the async event source. (record_resumed): New global. (record_execution_dir): New global. (record_resume, record_core_resume): Set them. Register the target on the event loop. (record_wait): Rename to ... (record_wait_1): ... this. Add more debug output. Handle TARGET_WNOHANG, and the target beneath returning TARGET_WAITKIND_IGNORE. (record_wait): Reimplement on top of record_wait_1. (record_async_mask_value): New global. (record_async, record_async_mask, record_can_async_p) (record_is_async_p, record_execution_direction): New functions. (init_record_ops, init_record_core_ops): Install new methods. * infrun.c (fetch_inferior_event): Temporarily switch the global execution direction to the direction the target was going. (execution_direction): Change type to int. * target.c (default_execution_direction): New function. (update_current_target): Inherit and de_fault to_execution_direction. * target.h (struct target_ops) : New field. (target_execution_direction): New macro. * inferior.h (execution_direction): Change type to int. commit d28e5067bf1fde683dfe7b3d5c3e97d24bd426f3 Author: Pedro Alves Date: Thu May 26 16:23:04 2011 +0000 2011-05-26 Pedro Alves gdb/ * infcall.c (call_function_by_hand): Don't allow calling functions in reverse execution mode. commit 247246ce3b32a94f60d842250a4773f867727d82 Author: Pedro Alves Date: Thu May 26 16:11:45 2011 +0000 2011-05-26 Pedro Alves gdb/ * infcmd.c (finish_command): Allow async finish in reverse. commit 3a14bd1a68ad05c598d0cb126495b3c0a6e244a4 Author: qiyao Date: Thu May 26 15:49:25 2011 +0000 2011-05-26 Yao Qi gdb/ * gdb_thread_db.h: Delete. Move to ... * common/gdb_thread_db.h: ... here. gdb/gdbserver/ * Makefile.in (thread-db.o): Track dependence to common/gdb_thread_db.h. * thread-db.c: include gdb_thread_db.h from right place. commit ae14b143a9445ee7a9c86b4d4bd55fd7bdfcd8f5 Author: Pedro Alves Date: Thu May 26 15:32:35 2011 +0000 2011-05-26 Pedro Alves gdb/ * infcmd.c (finish_backward): Set a step-resume breakpoint at the function's entry point instead of a manually managed momentary breakpoint, and only ever issue one proceed call. * infrun.c (handle_inferior_event) : If doing a reverse-finish, switch to stepi mode, to do another step. (insert_step_resume_breakpoint_at_sal): Make public. (normal_stop): No need to save function value return registers if going reverse. * inferior.h (insert_step_resume_breakpoint_at_sal): Declare. gdb/testsuite/ * gdb.reverse/finish-reverse-bkpt.exp: New test. commit 47cd6407eed65f9fae56739b3062910734374705 Author: Pedro Alves Date: Thu May 26 14:59:16 2011 +0000 2011-05-26 Pedro Alves gdb/ * breakpoint.h (enum bptype) : New. (enum bpstat_what_main_action): Move BPSTAT_WHAT_STEP_RESUME before BPSTAT_WHAT_STOP_SILENT. Add BPSTAT_WHAT_HP_STEP_RESUME at the end. * breakpoint.c (update_breakpoints_after_exec): Also delete hp step-resume breakpoints. (print_it_typical): Handle bp_hp_step_resume. (bpstat_what): Ditto. (bptype_string): Ditto. (print_one_breakpoint_location): Ditto. (allocate_bp_location): Ditto. (mention): Ditto. (breakpoint_re_set_one): Ditto. * infrun.c (handle_inferior_event): Adjust. Split BPSTAT_WHAT_STEP_RESUME handling in BPSTAT_WHAT_STEP_RESUME and BPSTAT_WHAT_HP_STEP_RESUME. (insert_step_resume_breakpoint_at_sal): Rename to ... (insert_step_resume_breakpoint_at_sal_1): ... this. Add bptype parameter. Handle it. (insert_step_resume_breakpoint_at_sal): Reimplement on top of insert_step_resume_breakpoint_at_sal_1. (insert_step_resume_breakpoint_at_frame): Rename to ... (insert_hp_step_resume_breakpoint_at_frame): ... this. Adjust to set a high-priority step-resume breakpoint. (insert_step_resume_breakpoint_at_frame): Adjust comment. (insert_step_resume_breakpoint_at_caller): Ditto. gdb/testsuite/ * gdb.reverse/next-reverse-bkpt-over-sr.exp: New test. commit a5cb3b798438675cebbad414450347db27d853d4 Author: Pedro Alves Date: Thu May 26 14:21:24 2011 +0000 2011-05-26 Pedro Alves gdb/ * breakpoint.c (iterate_over_related_breakpoints): New. (do_map_delete_breakpoint): New. (delete_command): Pass do_map_delete_breakpoint to map_breakpoint_numbers. (do_disable_breakpoint): New. (do_map_disable_breakpoint): Iterate over the breakpoint's related breakpoints. (do_enable_breakpoint): Rename to ... (enable_breakpoint_disp): ... this. (enable_breakpoint): Adjust. (do_enable_breakpoint): New. (enable_once_breakpoint): Delete. (do_map_enable_breakpoint): New. (do_map_enable_once_breakpoint): New. (enable_once_command, enable_delete_command) (delete_trace_command): Iterate over the breakpoint's related breakpoints. commit 34f725e1cdaaded7adcf4ca712293b24701b71e2 Author: Pedro Alves Date: Thu May 26 13:57:43 2011 +0000 * alpha-tdep.c (alpha_cannot_fetch_register): Don't return true for ALPHA_ZERO_REGNUM. (alpha_supply_int_regs): Explicitly supply zero as the value for ALPHA_ZERO_REGNUM in the register cache. * alpha-nat.c (fetch_osf_core_registers): Ditto. commit c872f0f77d3f539c95695dba6dc62c2cdb7c5c6c Author: H.J. Lu Date: Thu May 26 13:22:03 2011 +0000 Handle R_X86_64_TPOFF64 in executable. bfd/ 2011-05-26 H.J. Lu PR ld/12809 * elf64-x86-64.c (elf_x86_64_relocate_section): Handle R_X86_64_TPOFF64 in executable. ld/testsuite/ 2011-05-26 H.J. Lu PR ld/12809 * ld-x86-64/tlsbin.s: Add a test for LE with large model. * ld-x86-64/tlsbin.dd: Updated. * ld-x86-64/tlsbin.rd: Likewise. * ld-x86-64/tlsbin.sd: Likewise. * ld-x86-64/tlsbin.td: Likewise. * ld-x86-64/tlsbindesc.dd: Likewise. * ld-x86-64/tlsbindesc.rd: Likewise. * ld-x86-64/tlsbindesc.sd: Likewise. * ld-x86-64/tlsbindesc.td: Likewise. commit dbddfab4ceeb9e94d131a888c17428695358c715 Author: qiyao Date: Thu May 26 08:09:24 2011 +0000 2011-05-26 Yao Qi * gdb/gdb_thread_db.h: Remove HAVE_UINTPTR_T. commit 098c44473f30da40083d59dd4f7416aa03f9b051 Author: Tristan Gingold Date: Thu May 26 07:47:07 2011 +0000 2011-05-26 Tristan Gingold * symfile.h (struct dwarf2_section_names): New type. (struct dwarf2_debug_sections): New type. (dwarf2_has_info): Add parameter. * dwarf2read.c (dwarf2_elf_names): New variable. (INFO_SECTION, ABBREV_SECTION, LINE_SECTION, LOC_SECTION) (MACINFO_SECTION, STR_SECTION, RANGES_SECTION, TYPES_SECTION) (FRAME_SECTION, EH_FRAME_SECTION, GDB_INDEX_SECTION): Remove. (dwarf2_has_info): Add names parameter. Pass names to dwarf2_locate_sections. (section_is_p): Rewrite using the names parameter. (dwarf2_locate_sections): Use section names from the names parameter. * coffread.c (coff_symfile_read): Adjust call to dwarf2_has_info. * elfread.c (read_psyms): Ditto. * machoread.c (macho_symfile_read): Ditto. commit 2e3761e8b39216a94d587c2a313d7902819b8892 Author: Alan Modra Date: Thu May 26 04:28:12 2011 +0000 * elf-bfd.h (SYMBOL_REFERENCES_LOCAL): Remove most of comment. * elflink.c (_bfd_elf_symbol_refs_local_p): Expand local_protected comment. commit 067f4f701e8a5108c063fc850fb879cb10efcbe2 Author: Mike Frysinger Date: Thu May 26 00:14:42 2011 +0000 sim: bfin: switch to new syscall trace level Now that the common code supports the syscall trace level, change the Blackfin code from using the event level to the syscall level. Signed-off-by: Mike Frysinger commit 188088d94e6dd3117ef7dd952b67eae5402f1b94 Author: Mike Frysinger Date: Thu May 26 00:09:52 2011 +0000 sim: add syscall tracing level It's useful to be able to trace just the system calls the simulated program is calling, so add a new --trace-syscall option for ports to leverage if they choose. Signed-off-by: Mike Frysinger commit 9c4ae22bbc77405f288ed02eef49d72870de2bc9 Author: gdbadmin Date: Thu May 26 00:00:32 2011 +0000 *** empty log message *** commit e3d9b37bfa3d5ea64b71e17b54d798364b8a026c Author: Alan Modra Date: Thu May 26 00:00:05 2011 +0000 daily update ----------------------------------------------------------------------- Summary of changes: ChangeLog | 4 + bfd/ChangeLog | 297 +- bfd/Makefile.am | 10 +- bfd/Makefile.in | 11 +- bfd/aout-adobe.c | 3 +- bfd/aout-arm.c | 8 +- bfd/aout-target.h | 3 +- bfd/aout-tic30.c | 3 +- bfd/archive.c | 98 +- bfd/archures.c | 37 +- bfd/bfd-in2.h | 6 +- bfd/binary.c | 3 +- bfd/bout.c | 5 +- bfd/coff-alpha.c | 3 +- bfd/coff-aux.c | 3 +- bfd/coff-i386.c | 3 +- bfd/coff-i860.c | 1 + bfd/coff-i960.c | 4 +- bfd/coff-ia64.c | 3 +- bfd/coff-mcore.c | 4 +- bfd/coff-mips.c | 5 +- bfd/coff-or32.c | 3 +- bfd/coff-ppc.c | 2 + bfd/coff-rs6000.c | 16 +- bfd/coff-sh.c | 2 + bfd/coff-stgo32.c | 8 +- bfd/coff-tic30.c | 3 +- bfd/coff-tic54x.c | 8 +- bfd/coff-x86_64.c | 4 +- bfd/coff64-rs6000.c | 4 +- bfd/coffcode.h | 42 +- bfd/config.bfd | 15 +- bfd/config.in | 12 + bfd/configure | 167 +- bfd/configure.in | 23 +- bfd/elf-bfd.h | 10 +- bfd/elf-m10300.c | 16 + bfd/elf.c | 60 +- bfd/elf32-arm.c | 49 +- bfd/elf32-avr.c | 51 +- bfd/elf32-frv.c | 185 +- bfd/elf32-i386.c | 4 +- bfd/elf32-m68hc1x.c | 4 +- bfd/elf32-mcore.c | 4 +- bfd/elf32-mep.c | 2 +- bfd/elf32-mt.c | 4 +- bfd/elf32-ppc.c | 132 +- bfd/elf32-rx.c | 117 +- bfd/elf32-tic6x.c | 96 +- bfd/elf32-xtensa.c | 4 +- bfd/elf64-ppc.c | 190 +- bfd/elf64-x86-64.c | 5 +- bfd/elfcode.h | 72 +- bfd/elflink.c | 15 +- bfd/elfnn-ia64.c | 5463 ++++ bfd/elfxx-ia64.c | 5844 +---- bfd/elfxx-ia64.h | 34 + bfd/elfxx-mips.c | 6 +- bfd/elfxx-target.h | 12 +- bfd/format.c | 40 +- bfd/hash.c | 53 +- bfd/hosts/x86-64linux.h | 2 + bfd/i386msdos.c | 3 +- bfd/i386os9k.c | 3 +- bfd/ieee.c | 3 +- bfd/ihex.c | 3 +- bfd/irix-core.c | 1 + bfd/libxcoff.h | 22 + bfd/linker.c | 4 +- bfd/mach-o-target.c | 3 +- bfd/mipsbsd.c | 4 +- bfd/mmo.c | 3 +- bfd/netbsd.h | 6 +- bfd/nlm-target.h | 4 +- bfd/nlmcode.h | 4 +- bfd/oasys.c | 3 +- bfd/pdp11.c | 3 +- bfd/pe-mips.c | 3 +- bfd/pef.c | 2 + bfd/plugin.c | 3 +- bfd/po/SRC-POTFILES.in | 3 +- bfd/po/bfd.pot | 2116 +- bfd/ppcboot.c | 3 +- bfd/som.c | 1 + bfd/srec.c | 4 +- bfd/targets.c | 12 +- bfd/tekhex.c | 3 +- bfd/trad-core.c | 9 +- bfd/verilog.c | 3 +- bfd/versados.c | 3 +- bfd/version.h | 2 +- bfd/vms-alpha.c | 5 +- bfd/vms-lib.c | 3 +- bfd/xcofflink.c | 32 +- bfd/xsym.c | 3 +- config.guess | 12 +- config.sub | 16 +- gdb/ChangeLog | 876 + gdb/ChangeLog-2003 | 2 +- gdb/MAINTAINERS | 21 +- gdb/Makefile.in | 4 +- gdb/NEWS | 35 + gdb/ada-lang.c | 2 +- gdb/alpha-nat.c | 9 + gdb/alpha-tdep.c | 9 +- gdb/ax-gdb.c | 2 +- gdb/breakpoint.c | 197 +- gdb/breakpoint.h | 29 +- gdb/c-lang.c | 48 +- gdb/c-typeprint.c | 24 +- gdb/cli/cli-cmds.c | 5 +- gdb/cli/cli-dump.c | 12 +- gdb/coffread.c | 2 +- gdb/common/gdb_thread_db.h | 456 + gdb/continuations.c | 328 + gdb/continuations.h | 75 + gdb/cp-namespace.c | 13 +- gdb/cp-support.h | 8 + gdb/cp-valprint.c | 16 +- gdb/defs.h | 42 +- gdb/doc/ChangeLog | 37 + gdb/doc/gdb.texinfo | 140 +- gdb/dwarf2-frame.c | 24 +- gdb/dwarf2expr.c | 529 +- gdb/dwarf2expr.h | 25 +- gdb/dwarf2loc.c | 83 +- gdb/dwarf2loc.h | 3 + gdb/dwarf2read.c | 177 +- gdb/elfread.c | 4 +- gdb/event-loop.c | 16 +- gdb/event-top.c | 2 +- gdb/gdb_thread_db.h | 463 - gdb/gdbarch.c | 2 +- gdb/gdbarch.sh | 2 +- gdb/gdbserver/ChangeLog | 40 + gdb/gdbserver/Makefile.in | 5 +- gdb/gdbserver/linux-i386-ipa.c | 2 +- gdb/gdbserver/linux-x86-low.c | 2 +- gdb/gdbserver/server.c | 1 + gdb/gdbserver/thread-db.c | 100 +- gdb/gdbserver/tracepoint.c | 94 +- gdb/gdbserver/win32-i386-low.c | 2 +- gdb/gdbtypes.h | 4 +- gdb/inf-loop.c | 46 +- gdb/inf-loop.h | 1 - gdb/infcall.c | 29 +- gdb/infcmd.c | 195 +- gdb/inferior.c | 4 + gdb/inferior.h | 19 +- gdb/infrun.c | 229 +- gdb/interps.c | 2 +- gdb/jv-typeprint.c | 3 +- gdb/linespec.c | 183 +- gdb/linux-nat.c | 201 +- gdb/linux-thread-db.c | 217 +- gdb/machoread.c | 4 +- gdb/mi/mi-interp.c | 10 +- gdb/mi/mi-main.c | 22 +- gdb/objc-lang.c | 4 +- gdb/objfiles.h | 4 +- gdb/observer.sh | 1 + gdb/p-lang.h | 2 +- gdb/p-typeprint.c | 14 +- gdb/python/lib/gdb/printing.py | 1 + gdb/python/py-auto-load.c | 272 +- gdb/python/py-event.h | 2 +- gdb/python/py-exitedevent.c | 13 +- gdb/python/py-inferior.c | 16 +- gdb/python/python-internal.h | 1 + gdb/record.c | 167 +- gdb/remote-sim.c | 4 + gdb/remote.c | 85 +- gdb/reverse.c | 3 - gdb/rs6000-nat.c | 2 +- gdb/solib-svr4.c | 5 + gdb/sparc-nat.c | 4 +- gdb/sparc-tdep.c | 73 +- gdb/sparc64-tdep.c | 3 +- gdb/stabsread.c | 2 +- gdb/symfile.h | 48 +- gdb/symtab.c | 2 +- gdb/target.c | 31 +- gdb/target.h | 51 +- gdb/testsuite/ChangeLog | 242 + gdb/testsuite/gdb.ada/start.exp | 2 +- gdb/testsuite/gdb.arch/Makefile.in | 4 +- gdb/testsuite/gdb.arch/i386-bp_permanent.exp | 12 +- gdb/testsuite/gdb.arch/i386-dr3-watch.c | 43 + gdb/testsuite/gdb.arch/i386-dr3-watch.exp | 49 + gdb/testsuite/gdb.arch/i386-gnu-cfi.exp | 6 +- gdb/testsuite/gdb.arch/i386-permbkpt.exp | 8 +- gdb/testsuite/gdb.arch/i386-prologue.exp | 6 +- gdb/testsuite/gdb.arch/i386-signal.exp | 2 +- gdb/testsuite/gdb.arch/i386-size-overlap.exp | 8 +- gdb/testsuite/gdb.arch/i386-size.exp | 6 +- gdb/testsuite/gdb.arch/i386-unwind.exp | 6 +- gdb/testsuite/gdb.base/async-shell.c | 22 + gdb/testsuite/gdb.base/async-shell.exp | 42 + gdb/testsuite/gdb.base/callfuncs.c | 147 +- gdb/testsuite/gdb.base/callfuncs.exp | 133 +- gdb/testsuite/gdb.base/charset.c | 5 + gdb/testsuite/gdb.base/charset.exp | 25 +- gdb/testsuite/gdb.base/commands.exp | 18 +- gdb/testsuite/gdb.base/default.exp | 4 +- gdb/testsuite/gdb.base/ending-run.exp | 4 + gdb/testsuite/gdb.base/funcargs.c | 134 + gdb/testsuite/gdb.base/funcargs.exp | 94 +- gdb/testsuite/gdb.base/gcore-buffer-overflow.exp | 2 +- gdb/testsuite/gdb.base/help.exp | 18 +- gdb/testsuite/gdb.base/kill-after-signal.c | 37 + gdb/testsuite/gdb.base/kill-after-signal.exp | 29 + gdb/testsuite/gdb.base/varargs.c | 80 + gdb/testsuite/gdb.base/varargs.exp | 26 + gdb/testsuite/gdb.cp/anon-ns.cc | 92 + gdb/testsuite/gdb.cp/anon-ns.exp | 70 + gdb/testsuite/gdb.cp/call-c.exp | 2 +- gdb/testsuite/gdb.cp/cmpd-minsyms.cc | 6 + gdb/testsuite/gdb.cp/cmpd-minsyms.exp | 15 +- gdb/testsuite/gdb.cp/static-method.cc | 46 + gdb/testsuite/gdb.cp/static-method.exp | 82 + gdb/testsuite/gdb.dwarf2/callframecfa.exp | 2 +- gdb/testsuite/gdb.dwarf2/clztest.S | 900 + gdb/testsuite/gdb.dwarf2/clztest.c | 33 + gdb/testsuite/gdb.dwarf2/clztest.exp | 91 + gdb/testsuite/gdb.dwarf2/dw2-restore.exp | 2 +- gdb/testsuite/gdb.dwarf2/dw4-sig-type-unused.S | 87 + gdb/testsuite/gdb.dwarf2/dw4-sig-type-unused.exp | 36 + gdb/testsuite/gdb.dwarf2/implptr.exp | 2 +- gdb/testsuite/gdb.dwarf2/pieces.exp | 2 +- gdb/testsuite/gdb.dwarf2/typeddwarf.S | 2225 ++ gdb/testsuite/gdb.dwarf2/typeddwarf.c | 93 + gdb/testsuite/gdb.dwarf2/typeddwarf.exp | 91 + gdb/testsuite/gdb.dwarf2/valop.exp | 2 +- gdb/testsuite/gdb.dwarf2/watch-notconst.exp | 2 +- gdb/testsuite/gdb.mi/Makefile.in | 24 +- gdb/testsuite/gdb.mi/basics.c | 4 - gdb/testsuite/gdb.mi/mi-break.exp | 17 +- gdb/testsuite/gdb.mi/mi-nonstop-exit.exp | 11 - gdb/testsuite/gdb.mi/mi-nonstop.exp | 26 +- gdb/testsuite/gdb.mi/mi-ns-stale-regcache.exp | 11 - gdb/testsuite/gdb.mi/mi-nsintrall.exp | 11 - gdb/testsuite/gdb.mi/mi-nsmoribund.exp | 11 - gdb/testsuite/gdb.mi/mi-nsthrexec.exp | 11 - gdb/testsuite/gdb.mi/mi-reverse.exp | 6 +- gdb/testsuite/gdb.python/Makefile.in | 2 +- gdb/testsuite/gdb.python/py-function.exp | 14 + gdb/testsuite/gdb.python/py-mi.exp | 21 +- gdb/testsuite/gdb.python/py-objfile-script-gdb.py | 63 + gdb/testsuite/gdb.python/py-objfile-script.c | 39 + gdb/testsuite/gdb.python/py-objfile-script.exp | 60 + gdb/testsuite/gdb.python/py-section-script.exp | 8 + gdb/testsuite/gdb.reverse/Makefile.in | 3 +- gdb/testsuite/gdb.reverse/finish-reverse-bkpt.exp | 57 + gdb/testsuite/gdb.reverse/i386-precsave.exp | 12 +- gdb/testsuite/gdb.reverse/i386-reverse.exp | 6 +- gdb/testsuite/gdb.reverse/i386-sse-reverse.exp | 6 +- gdb/testsuite/gdb.reverse/i387-env-reverse.exp | 8 +- gdb/testsuite/gdb.reverse/i387-stack-reverse.exp | 8 +- .../gdb.reverse/next-reverse-bkpt-over-sr.exp | 58 + gdb/testsuite/gdb.reverse/sigall-precsave.exp | 8 +- gdb/testsuite/gdb.reverse/sigall-reverse.exp | 2 +- gdb/testsuite/gdb.threads/leader-exit.c | 49 + gdb/testsuite/gdb.threads/leader-exit.exp | 38 + gdb/testsuite/gdb.threads/pending-step.exp | 2 +- gdb/testsuite/gdb.trace/tfile.c | 8 +- gdb/testsuite/gdb.trace/tfile.exp | 7 +- gdb/testsuite/lib/gdb.exp | 80 +- gdb/thread.c | 19 +- gdb/top.c | 15 +- gdb/top.h | 8 +- gdb/tracepoint.c | 120 +- gdb/ui-file.c | 64 +- gdb/ui-file.h | 14 + gdb/utils.c | 295 +- gdb/value.c | 17 +- gdb/value.h | 1 + gdb/version.in | 2 +- gdb/xcoffread.c | 31 +- include/ChangeLog | 19 + include/bfdlink.h | 8 +- include/coff/ChangeLog | 4 + include/coff/i860.h | 4 +- include/elf/ChangeLog | 9 + include/elf/arm.h | 3 +- include/elf/common.h | 2 +- include/elf/reloc-macros.h | 6 +- include/opcode/ChangeLog | 4 + include/opcode/arm.h | 2 + include/opcode/s390.h | 3 + libiberty/ChangeLog | 5 + libiberty/strsignal.c | 4 +- opcodes/ChangeLog | 53 + opcodes/arm-dis.c | 105 +- opcodes/i386-gen.c | 2 +- opcodes/i386-init.h | 2 +- opcodes/po/opcodes.pot | 121 +- opcodes/s390-opc.c | 217 +- opcodes/s390-opc.txt | 312 +- opcodes/v850-opc.c | 8 +- sim/ChangeLog | 5 + sim/bfin/ChangeLog | 121 + sim/bfin/Makefile.in | 1 + sim/bfin/bfin-sim.c | 3 +- sim/bfin/bfroms/all.h | 3 + sim/bfin/bfroms/bf526-0.2.h | 4 + sim/bfin/bfroms/bf54x-0.4.h | 4 + sim/bfin/bfroms/bf54x_l1-0.4.h | 4 + sim/bfin/configure | 1 + sim/bfin/configure.ac | 1 + sim/bfin/dv-bfin_cec.h | 3 - sim/bfin/dv-bfin_ctimer.h | 3 - sim/bfin/dv-bfin_dma.c | 2 +- sim/bfin/dv-bfin_dma.h | 2 - sim/bfin/dv-bfin_dmac.h | 3 - sim/bfin/dv-bfin_ebiu_amc.h | 4 - sim/bfin/dv-bfin_ebiu_ddrc.h | 2 - sim/bfin/dv-bfin_ebiu_sdc.h | 2 - sim/bfin/dv-bfin_emac.h | 3 - sim/bfin/dv-bfin_eppi.h | 3 - sim/bfin/dv-bfin_evt.h | 3 - sim/bfin/dv-bfin_gpio.h | 3 - sim/bfin/dv-bfin_gptimer.h | 3 - sim/bfin/dv-bfin_jtag.h | 3 - sim/bfin/dv-bfin_mmu.h | 3 - sim/bfin/dv-bfin_nfc.h | 3 - sim/bfin/dv-bfin_otp.h | 6 - sim/bfin/dv-bfin_pfmon.c | 155 + sim/bfin/dv-bfin_pfmon.h | 24 + sim/bfin/dv-bfin_pll.h | 3 - sim/bfin/dv-bfin_ppi.h | 3 - sim/bfin/dv-bfin_rtc.h | 2 - sim/bfin/dv-bfin_sic.c | 625 +- sim/bfin/dv-bfin_sic.h | 3 - sim/bfin/dv-bfin_spi.h | 3 - sim/bfin/dv-bfin_trace.h | 3 - sim/bfin/dv-bfin_twi.h | 3 - sim/bfin/dv-bfin_uart.c | 52 +- sim/bfin/dv-bfin_uart.h | 17 +- sim/bfin/dv-bfin_uart2.c | 4 +- sim/bfin/dv-bfin_uart2.h | 3 - sim/bfin/dv-bfin_wdog.h | 2 - sim/bfin/dv-bfin_wp.h | 3 - sim/bfin/machs.c | 726 +- sim/bfin/machs.h | 47 + sim/bfin/sim-main.h | 2 +- sim/common/ChangeLog | 36 + sim/common/dv-glue.c | 126 +- sim/common/nltvals.def | 31 + sim/common/sim-options.c | 10 +- sim/common/sim-trace.c | 14 +- sim/common/sim-trace.h | 6 + sim/configure | 1 + sim/configure.tgt | 1 + sim/erc32/ChangeLog | 4 + sim/erc32/interf.c | 6 + sim/ppc/ChangeLog | 8 +- sim/ppc/vm.c | 2 +- sim/testsuite/ChangeLog | 8 + sim/testsuite/lib/sim-defs.exp | 48 +- sim/testsuite/sim/bfin/.gitignore | 1 + sim/testsuite/sim/bfin/10272_small.s | 51 + sim/testsuite/sim/bfin/10436.s | 39 + sim/testsuite/sim/bfin/10622.s | 21 + sim/testsuite/sim/bfin/10742.s | 17 + sim/testsuite/sim/bfin/10799.s | 55 + sim/testsuite/sim/bfin/11080.s | 40 + sim/testsuite/sim/bfin/7641.s | 38 + sim/testsuite/sim/bfin/ChangeLog | 243 + sim/testsuite/sim/bfin/PN_generator.s | 78 + sim/testsuite/sim/bfin/a0.s | 17 + sim/testsuite/sim/bfin/a0shift.S | 169 + sim/testsuite/sim/bfin/a1.s | 29 + sim/testsuite/sim/bfin/a10.s | 176 + sim/testsuite/sim/bfin/a11.S | 386 + sim/testsuite/sim/bfin/a12.s | 40 + sim/testsuite/sim/bfin/a2.s | 179 + sim/testsuite/sim/bfin/a20.S | 68 + sim/testsuite/sim/bfin/a21.s | 83 + sim/testsuite/sim/bfin/a22.s | 83 + sim/testsuite/sim/bfin/a23.s | 84 + sim/testsuite/sim/bfin/a24.s | 12 + sim/testsuite/sim/bfin/a25.s | 28 + sim/testsuite/sim/bfin/a26.s | 72 + sim/testsuite/sim/bfin/a3.s | 313 + sim/testsuite/sim/bfin/a30.s | 55 + sim/testsuite/sim/bfin/a4.s | 36 + sim/testsuite/sim/bfin/a5.s | 140 + sim/testsuite/sim/bfin/a6.s | 132 + sim/testsuite/sim/bfin/a7.s | 179 + sim/testsuite/sim/bfin/a8.s | 41 + sim/testsuite/sim/bfin/a9.s | 219 + sim/testsuite/sim/bfin/abs-2.S | 42 + sim/testsuite/sim/bfin/abs-3.S | 42 + sim/testsuite/sim/bfin/abs-4.S | 42 + sim/testsuite/sim/bfin/abs.S | 42 + sim/testsuite/sim/bfin/abs_acc.s | 224 + sim/testsuite/sim/bfin/acc-rot.s | 129 + sim/testsuite/sim/bfin/acp5_19.s | 12 + sim/testsuite/sim/bfin/acp5_4.s | 39 + sim/testsuite/sim/bfin/add_imm7.s | 38 + sim/testsuite/sim/bfin/add_shift.S | 53 + sim/testsuite/sim/bfin/add_sub_acc.s | 123 + sim/testsuite/sim/bfin/addsub_flags.S | 107 + sim/testsuite/sim/bfin/algnbug1.s | 38 + sim/testsuite/sim/bfin/algnbug2.s | 69 + sim/testsuite/sim/bfin/allinsn.exp | 15 + sim/testsuite/sim/bfin/argc.c | 31 + sim/testsuite/sim/bfin/ashift.s | 323 + sim/testsuite/sim/bfin/ashift_flags.s | 84 + sim/testsuite/sim/bfin/b0.S | 51 + sim/testsuite/sim/bfin/b1.s | 12 + sim/testsuite/sim/bfin/b2.S | 26 + sim/testsuite/sim/bfin/brcc.s | 164 + sim/testsuite/sim/bfin/brevadd.s | 20 + sim/testsuite/sim/bfin/byteop16m.s | 76 + sim/testsuite/sim/bfin/byteop16p.s | 74 + sim/testsuite/sim/bfin/byteop1p.s | 75 + sim/testsuite/sim/bfin/byteop2p.s | 58 + sim/testsuite/sim/bfin/byteop3p.s | 119 + sim/testsuite/sim/bfin/byteunpack.s | 45 + sim/testsuite/sim/bfin/c_alu2op_arith_r_sft.s | 226 + sim/testsuite/sim/bfin/c_alu2op_conv_b.s | 211 + sim/testsuite/sim/bfin/c_alu2op_conv_h.s | 211 + sim/testsuite/sim/bfin/c_alu2op_conv_mix.s | 186 + sim/testsuite/sim/bfin/c_alu2op_conv_neg.s | 211 + sim/testsuite/sim/bfin/c_alu2op_conv_toggle.s | 211 + sim/testsuite/sim/bfin/c_alu2op_conv_xb.s | 211 + sim/testsuite/sim/bfin/c_alu2op_conv_xh.s | 212 + sim/testsuite/sim/bfin/c_alu2op_divq.s | 220 + sim/testsuite/sim/bfin/c_alu2op_divs.s | 220 + sim/testsuite/sim/bfin/c_alu2op_log_l_sft.s | 220 + sim/testsuite/sim/bfin/c_alu2op_log_r_sft.s | 217 + sim/testsuite/sim/bfin/c_alu2op_shadd_1.s | 209 + sim/testsuite/sim/bfin/c_alu2op_shadd_2.s | 209 + sim/testsuite/sim/bfin/c_br_preg_killed_ac.s | 82 + sim/testsuite/sim/bfin/c_br_preg_killed_ex1.s | 85 + sim/testsuite/sim/bfin/c_br_preg_stall_ac.s | 75 + sim/testsuite/sim/bfin/c_br_preg_stall_ex1.s | 70 + sim/testsuite/sim/bfin/c_brcc_bp1.s | 45 + sim/testsuite/sim/bfin/c_brcc_bp2.s | 45 + sim/testsuite/sim/bfin/c_brcc_bp3.s | 47 + sim/testsuite/sim/bfin/c_brcc_bp4.s | 46 + sim/testsuite/sim/bfin/c_brcc_brf_bp.s | 46 + sim/testsuite/sim/bfin/c_brcc_brf_brt_bp.s | 47 + sim/testsuite/sim/bfin/c_brcc_brf_brt_nbp.s | 46 + sim/testsuite/sim/bfin/c_brcc_brf_fbkwd.s | 46 + sim/testsuite/sim/bfin/c_brcc_brf_nbp.s | 45 + sim/testsuite/sim/bfin/c_brcc_brt_bp.s | 46 + sim/testsuite/sim/bfin/c_brcc_brt_nbp.s | 45 + sim/testsuite/sim/bfin/c_brcc_kills_dhits.s | 136 + sim/testsuite/sim/bfin/c_brcc_kills_dmiss.s | 137 + sim/testsuite/sim/bfin/c_cactrl_iflush_pr.s | 102 + sim/testsuite/sim/bfin/c_cactrl_iflush_pr_pp.s | 100 + sim/testsuite/sim/bfin/c_calla_ljump.s | 31 + sim/testsuite/sim/bfin/c_calla_subr.s | 28 + sim/testsuite/sim/bfin/c_cc2dreg.s | 56 + sim/testsuite/sim/bfin/c_cc2stat_cc_ac.S | 240 + sim/testsuite/sim/bfin/c_cc2stat_cc_an.s | 243 + sim/testsuite/sim/bfin/c_cc2stat_cc_aq.s | 243 + sim/testsuite/sim/bfin/c_cc2stat_cc_av0.S | 241 + sim/testsuite/sim/bfin/c_cc2stat_cc_av1.S | 240 + sim/testsuite/sim/bfin/c_cc2stat_cc_az.s | 243 + sim/testsuite/sim/bfin/c_cc_flag_ccmv_depend.S | 80 + sim/testsuite/sim/bfin/c_cc_flagdreg_mvbrsft.s | 87 + sim/testsuite/sim/bfin/c_cc_flagdreg_mvbrsft_s1.s | 99 + sim/testsuite/sim/bfin/c_cc_flagdreg_mvbrsft_sn.s | 118 + sim/testsuite/sim/bfin/c_cc_regmvlogi_mvbrsft.s | 83 + sim/testsuite/sim/bfin/c_cc_regmvlogi_mvbrsft_s1.s | 98 + sim/testsuite/sim/bfin/c_cc_regmvlogi_mvbrsft_sn.S | 127 + sim/testsuite/sim/bfin/c_ccflag_a0a1.S | 143 + sim/testsuite/sim/bfin/c_ccflag_dr_dr.s | 299 + sim/testsuite/sim/bfin/c_ccflag_dr_dr_uu.s | 299 + sim/testsuite/sim/bfin/c_ccflag_dr_imm3.s | 224 + sim/testsuite/sim/bfin/c_ccflag_dr_imm3_uu.s | 221 + sim/testsuite/sim/bfin/c_ccflag_pr_imm3.s | 539 + sim/testsuite/sim/bfin/c_ccflag_pr_imm3_uu.s | 238 + sim/testsuite/sim/bfin/c_ccflag_pr_pr.s | 262 + sim/testsuite/sim/bfin/c_ccflag_pr_pr_uu.s | 212 + sim/testsuite/sim/bfin/c_ccmv_cc_dr_dr.s | 124 + sim/testsuite/sim/bfin/c_ccmv_cc_dr_pr.s | 61 + sim/testsuite/sim/bfin/c_ccmv_cc_pr_pr.s | 111 + sim/testsuite/sim/bfin/c_ccmv_ncc_dr_dr.s | 123 + sim/testsuite/sim/bfin/c_ccmv_ncc_dr_pr.s | 60 + sim/testsuite/sim/bfin/c_ccmv_ncc_pr_pr.s | 111 + sim/testsuite/sim/bfin/c_comp3op_dr_and_dr.s | 412 + sim/testsuite/sim/bfin/c_comp3op_dr_minus_dr.s | 412 + sim/testsuite/sim/bfin/c_comp3op_dr_mix.s | 237 + sim/testsuite/sim/bfin/c_comp3op_dr_or_dr.s | 412 + sim/testsuite/sim/bfin/c_comp3op_dr_plus_dr.s | 412 + sim/testsuite/sim/bfin/c_comp3op_dr_xor_dr.s | 412 + sim/testsuite/sim/bfin/c_comp3op_pr_plus_pr_sh1.s | 302 + sim/testsuite/sim/bfin/c_comp3op_pr_plus_pr_sh2.s | 302 + sim/testsuite/sim/bfin/c_compi2opd_dr_add_i7_n.s | 164 + sim/testsuite/sim/bfin/c_compi2opd_dr_add_i7_p.s | 147 + sim/testsuite/sim/bfin/c_compi2opd_dr_eq_i7_n.s | 166 + sim/testsuite/sim/bfin/c_compi2opd_dr_eq_i7_p.s | 147 + sim/testsuite/sim/bfin/c_compi2opd_flags.S | 600 + sim/testsuite/sim/bfin/c_compi2opd_flags_2.S | 600 + sim/testsuite/sim/bfin/c_compi2opp_pr_add_i7_n.s | 149 + sim/testsuite/sim/bfin/c_compi2opp_pr_add_i7_p.s | 116 + sim/testsuite/sim/bfin/c_compi2opp_pr_eq_i7_n.s | 161 + sim/testsuite/sim/bfin/c_compi2opp_pr_eq_i7_p.s | 131 + sim/testsuite/sim/bfin/c_dagmodik_lnz_imgebl.s | 290 + sim/testsuite/sim/bfin/c_dagmodik_lnz_imltbl.s | 289 + sim/testsuite/sim/bfin/c_dagmodik_lz_inc_dec.s | 140 + sim/testsuite/sim/bfin/c_dagmodim_lnz_imgebl.s | 108 + sim/testsuite/sim/bfin/c_dagmodim_lnz_imltbl.s | 109 + sim/testsuite/sim/bfin/c_dagmodim_lz_inc_dec.s | 98 + sim/testsuite/sim/bfin/c_dsp32alu_a0_pm_a1.s | 39 + sim/testsuite/sim/bfin/c_dsp32alu_a0a1s.s | 82 + sim/testsuite/sim/bfin/c_dsp32alu_a_abs_a.s | 34 + sim/testsuite/sim/bfin/c_dsp32alu_a_neg_a.s | 34 + sim/testsuite/sim/bfin/c_dsp32alu_aa_absabs.s | 35 + sim/testsuite/sim/bfin/c_dsp32alu_aa_negneg.s | 35 + sim/testsuite/sim/bfin/c_dsp32alu_abs.s | 62 + sim/testsuite/sim/bfin/c_dsp32alu_absabs.s | 62 + sim/testsuite/sim/bfin/c_dsp32alu_alhwx.s | 128 + sim/testsuite/sim/bfin/c_dsp32alu_awx.s | 61 + sim/testsuite/sim/bfin/c_dsp32alu_byteop1ew.s | 136 + sim/testsuite/sim/bfin/c_dsp32alu_byteop2.s | 76 + sim/testsuite/sim/bfin/c_dsp32alu_byteop3.s | 76 + sim/testsuite/sim/bfin/c_dsp32alu_bytepack.s | 77 + sim/testsuite/sim/bfin/c_dsp32alu_byteunpack.s | 113 + sim/testsuite/sim/bfin/c_dsp32alu_disalnexcpt.s | 255 + sim/testsuite/sim/bfin/c_dsp32alu_max.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_maxmax.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_min.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_minmin.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_mix.s | 137 + sim/testsuite/sim/bfin/c_dsp32alu_r_lh_a0pa1.s | 75 + sim/testsuite/sim/bfin/c_dsp32alu_r_negneg.s | 88 + sim/testsuite/sim/bfin/c_dsp32alu_rh_m.s | 263 + sim/testsuite/sim/bfin/c_dsp32alu_rh_p.s | 263 + sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd12_m.s | 258 + sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd12_p.s | 262 + sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd20_m.s | 258 + sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd20_p.s | 258 + sim/testsuite/sim/bfin/c_dsp32alu_rl_m.s | 263 + sim/testsuite/sim/bfin/c_dsp32alu_rl_p.s | 263 + sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd12_m.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd12_p.s | 262 + sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd20_m.s | 262 + sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd20_p.s | 258 + sim/testsuite/sim/bfin/c_dsp32alu_rlh_rnd.s | 66 + sim/testsuite/sim/bfin/c_dsp32alu_rm.s | 262 + sim/testsuite/sim/bfin/c_dsp32alu_rmm.s | 264 + sim/testsuite/sim/bfin/c_dsp32alu_rmp.s | 264 + sim/testsuite/sim/bfin/c_dsp32alu_rp.s | 262 + sim/testsuite/sim/bfin/c_dsp32alu_rpm.s | 264 + sim/testsuite/sim/bfin/c_dsp32alu_rpp.s | 266 + sim/testsuite/sim/bfin/c_dsp32alu_rr_lph_a1a0.s | 33 + sim/testsuite/sim/bfin/c_dsp32alu_rrpm.s | 265 + sim/testsuite/sim/bfin/c_dsp32alu_rrpm_aa.s | 70 + sim/testsuite/sim/bfin/c_dsp32alu_rrpmmp.s | 263 + sim/testsuite/sim/bfin/c_dsp32alu_rrpmmp_sft.s | 262 + sim/testsuite/sim/bfin/c_dsp32alu_rrpmmp_sft_x.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_rrppmm.s | 263 + sim/testsuite/sim/bfin/c_dsp32alu_rrppmm_sft.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_rrppmm_sft_x.s | 261 + sim/testsuite/sim/bfin/c_dsp32alu_saa.s | 70 + sim/testsuite/sim/bfin/c_dsp32alu_sat_aa.S | 41 + sim/testsuite/sim/bfin/c_dsp32alu_search.s | 74 + sim/testsuite/sim/bfin/c_dsp32alu_sgn.s | 39 + sim/testsuite/sim/bfin/c_dsp32mac_a1a0.s | 255 + sim/testsuite/sim/bfin/c_dsp32mac_a1a0_iuw32.s | 1014 + sim/testsuite/sim/bfin/c_dsp32mac_a1a0_m.s | 340 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0.s | 124 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_i.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_ih.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_is.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_iu.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_m.s | 127 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_s.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_t.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_tu.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_u.s | 119 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1.s | 213 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_i.s | 273 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_ih.s | 145 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_is.s | 145 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_iu.s | 145 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_m.s | 206 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_s.s | 145 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_t.s | 274 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_tu.s | 145 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_u.s | 170 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1a0.s | 157 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1a0_iutsh.s | 157 + sim/testsuite/sim/bfin/c_dsp32mac_dr_a1a0_m.s | 157 + sim/testsuite/sim/bfin/c_dsp32mac_mix.s | 114 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a0.s | 129 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_i.s | 247 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_is.s | 245 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_m.s | 129 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_s.s | 245 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_u.s | 245 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1.s | 127 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_i.s | 243 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_is.s | 243 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_m.s | 127 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_s.s | 243 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_u.s | 243 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0.s | 152 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_i.s | 292 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_is.s | 292 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_m.s | 152 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_s.s | 306 + sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_u.s | 292 + sim/testsuite/sim/bfin/c_dsp32mac_pair_mix.s | 69 + sim/testsuite/sim/bfin/c_dsp32mult_dr.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_i.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_ih.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_is.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_iu.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_m.s | 211 + sim/testsuite/sim/bfin/c_dsp32mult_dr_m_i.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_m_iutsh.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_m_s.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_m_t.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_m_u.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_mix.s | 196 + sim/testsuite/sim/bfin/c_dsp32mult_dr_s.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_t.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_tu.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_dr_u.s | 212 + sim/testsuite/sim/bfin/c_dsp32mult_pair.s | 179 + sim/testsuite/sim/bfin/c_dsp32mult_pair_i.s | 179 + sim/testsuite/sim/bfin/c_dsp32mult_pair_is.s | 179 + sim/testsuite/sim/bfin/c_dsp32mult_pair_m.s | 178 + sim/testsuite/sim/bfin/c_dsp32mult_pair_m_i.s | 178 + sim/testsuite/sim/bfin/c_dsp32mult_pair_m_is.s | 178 + sim/testsuite/sim/bfin/c_dsp32mult_pair_m_s.s | 178 + sim/testsuite/sim/bfin/c_dsp32mult_pair_m_u.s | 178 + sim/testsuite/sim/bfin/c_dsp32mult_pair_s.s | 180 + sim/testsuite/sim/bfin/c_dsp32mult_pair_u.s | 179 + sim/testsuite/sim/bfin/c_dsp32shift_a0alr.s | 211 + sim/testsuite/sim/bfin/c_dsp32shift_af.s | 186 + sim/testsuite/sim/bfin/c_dsp32shift_af_s.s | 186 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_ln.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_ln_s.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_lp.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_lp_s.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rn.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rn_s.s | 424 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rp.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rp_s.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_ahh.s | 430 + sim/testsuite/sim/bfin/c_dsp32shift_ahh_s.s | 430 + sim/testsuite/sim/bfin/c_dsp32shift_align16.s | 210 + sim/testsuite/sim/bfin/c_dsp32shift_align24.s | 210 + sim/testsuite/sim/bfin/c_dsp32shift_align8.s | 210 + sim/testsuite/sim/bfin/c_dsp32shift_amix.s | 142 + sim/testsuite/sim/bfin/c_dsp32shift_bitmux.s | 486 + sim/testsuite/sim/bfin/c_dsp32shift_bxor.s | 126 + sim/testsuite/sim/bfin/c_dsp32shift_expadj_h.s | 214 + sim/testsuite/sim/bfin/c_dsp32shift_expadj_l.s | 212 + sim/testsuite/sim/bfin/c_dsp32shift_expadj_r.s | 212 + sim/testsuite/sim/bfin/c_dsp32shift_expexp_r.s | 212 + sim/testsuite/sim/bfin/c_dsp32shift_fdepx.s | 210 + sim/testsuite/sim/bfin/c_dsp32shift_fextx.s | 210 + sim/testsuite/sim/bfin/c_dsp32shift_lf.s | 422 + sim/testsuite/sim/bfin/c_dsp32shift_lhalf_ln.s | 422 + sim/testsuite/sim/bfin/c_dsp32shift_lhalf_lp.s | 422 + sim/testsuite/sim/bfin/c_dsp32shift_lhalf_rn.s | 425 + sim/testsuite/sim/bfin/c_dsp32shift_lhalf_rp.s | 423 + sim/testsuite/sim/bfin/c_dsp32shift_lhh.s | 311 + sim/testsuite/sim/bfin/c_dsp32shift_lmix.s | 136 + sim/testsuite/sim/bfin/c_dsp32shift_ones.s | 214 + sim/testsuite/sim/bfin/c_dsp32shift_pack.s | 411 + sim/testsuite/sim/bfin/c_dsp32shift_rot.s | 427 + sim/testsuite/sim/bfin/c_dsp32shift_rot_mix.s | 437 + sim/testsuite/sim/bfin/c_dsp32shift_signbits_r.s | 214 + sim/testsuite/sim/bfin/c_dsp32shift_signbits_rh.s | 214 + sim/testsuite/sim/bfin/c_dsp32shift_signbits_rl.s | 210 + sim/testsuite/sim/bfin/c_dsp32shift_vmax.s | 113 + sim/testsuite/sim/bfin/c_dsp32shift_vmaxvmax.s | 113 + sim/testsuite/sim/bfin/c_dsp32shiftim_a0alr.s | 213 + sim/testsuite/sim/bfin/c_dsp32shiftim_af.s | 63 + sim/testsuite/sim/bfin/c_dsp32shiftim_af_s.s | 63 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_ln.s | 406 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_ln_s.s | 408 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_lp.s | 418 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_lp_s.s | 415 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rn.s | 418 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rn_s.s | 418 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rp.s | 420 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rp_s.s | 422 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahh.s | 65 + sim/testsuite/sim/bfin/c_dsp32shiftim_ahh_s.s | 65 + sim/testsuite/sim/bfin/c_dsp32shiftim_amix.s | 142 + sim/testsuite/sim/bfin/c_dsp32shiftim_lf.s | 63 + sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_ln.s | 401 + sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_lp.s | 418 + sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_rn.s | 424 + sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_rp.s | 421 + sim/testsuite/sim/bfin/c_dsp32shiftim_lhh.s | 65 + sim/testsuite/sim/bfin/c_dsp32shiftim_lmix.s | 138 + sim/testsuite/sim/bfin/c_dsp32shiftim_rot.s | 62 + sim/testsuite/sim/bfin/c_dspldst_ld_dr_i.s | 168 + sim/testsuite/sim/bfin/c_dspldst_ld_dr_ipp.s | 348 + sim/testsuite/sim/bfin/c_dspldst_ld_dr_ippm.s | 328 + sim/testsuite/sim/bfin/c_dspldst_ld_drhi_i.s | 168 + sim/testsuite/sim/bfin/c_dspldst_ld_drhi_ipp.s | 364 + sim/testsuite/sim/bfin/c_dspldst_ld_drlo_i.s | 164 + sim/testsuite/sim/bfin/c_dspldst_ld_drlo_ipp.s | 355 + sim/testsuite/sim/bfin/c_dspldst_st_dr_i.s | 185 + sim/testsuite/sim/bfin/c_dspldst_st_dr_ipp.s | 326 + sim/testsuite/sim/bfin/c_dspldst_st_dr_ippm.s | 279 + sim/testsuite/sim/bfin/c_dspldst_st_drhi_i.s | 161 + sim/testsuite/sim/bfin/c_dspldst_st_drhi_ipp.s | 355 + sim/testsuite/sim/bfin/c_dspldst_st_drlo_i.s | 163 + sim/testsuite/sim/bfin/c_dspldst_st_drlo_ipp.s | 351 + sim/testsuite/sim/bfin/c_except_illopcode.S | 99 + sim/testsuite/sim/bfin/c_except_sys_sstep.S | 252 + sim/testsuite/sim/bfin/c_except_user_mode.S | 349 + sim/testsuite/sim/bfin/c_interr_disable.S | 323 + sim/testsuite/sim/bfin/c_interr_disable_enable.S | 344 + sim/testsuite/sim/bfin/c_interr_excpt.S | 290 + sim/testsuite/sim/bfin/c_interr_loopsetup_stld.S | 224 + sim/testsuite/sim/bfin/c_interr_nested.S | 289 + sim/testsuite/sim/bfin/c_interr_nmi.S | 318 + sim/testsuite/sim/bfin/c_interr_pending.S | 324 + sim/testsuite/sim/bfin/c_interr_pending_2.S | 268 + sim/testsuite/sim/bfin/c_interr_timer.S | 384 + sim/testsuite/sim/bfin/c_interr_timer_reload.S | 286 + sim/testsuite/sim/bfin/c_interr_timer_tcount.S | 242 + sim/testsuite/sim/bfin/c_interr_timer_tscale.S | 304 + sim/testsuite/sim/bfin/c_ldimmhalf_dreg.s | 60 + sim/testsuite/sim/bfin/c_ldimmhalf_drhi.s | 85 + sim/testsuite/sim/bfin/c_ldimmhalf_drlo.s | 89 + sim/testsuite/sim/bfin/c_ldimmhalf_h_dr.s | 82 + sim/testsuite/sim/bfin/c_ldimmhalf_h_ibml.s | 165 + sim/testsuite/sim/bfin/c_ldimmhalf_h_pr.s | 74 + sim/testsuite/sim/bfin/c_ldimmhalf_l_dr.s | 82 + sim/testsuite/sim/bfin/c_ldimmhalf_l_ibml.s | 165 + sim/testsuite/sim/bfin/c_ldimmhalf_l_pr.s | 76 + sim/testsuite/sim/bfin/c_ldimmhalf_lz_dr.s | 81 + sim/testsuite/sim/bfin/c_ldimmhalf_lz_ibml.s | 168 + sim/testsuite/sim/bfin/c_ldimmhalf_lz_pr.s | 72 + sim/testsuite/sim/bfin/c_ldimmhalf_lzhi_dr.s | 113 + sim/testsuite/sim/bfin/c_ldimmhalf_lzhi_ibml.s | 216 + sim/testsuite/sim/bfin/c_ldimmhalf_lzhi_pr.s | 102 + sim/testsuite/sim/bfin/c_ldimmhalf_pibml.s | 212 + sim/testsuite/sim/bfin/c_ldst_ld_d_p.s | 372 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_b.s | 353 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_h.s | 351 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm.s | 417 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_b.s | 353 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_h.s | 330 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_xb.s | 341 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_xh.s | 355 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp.s | 371 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_b.s | 324 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_h.s | 350 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_xb.s | 355 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_xh.s | 333 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_ppmm_hbx.s | 656 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_xb.s | 326 + sim/testsuite/sim/bfin/c_ldst_ld_d_p_xh.s | 354 + sim/testsuite/sim/bfin/c_ldst_ld_p_p.s | 327 + sim/testsuite/sim/bfin/c_ldst_ld_p_p_mm.s | 406 + sim/testsuite/sim/bfin/c_ldst_ld_p_p_pp.s | 335 + sim/testsuite/sim/bfin/c_ldst_st_p_d.s | 299 + sim/testsuite/sim/bfin/c_ldst_st_p_d_b.s | 300 + sim/testsuite/sim/bfin/c_ldst_st_p_d_h.s | 280 + sim/testsuite/sim/bfin/c_ldst_st_p_d_mm.s | 601 + sim/testsuite/sim/bfin/c_ldst_st_p_d_mm_b.s | 498 + sim/testsuite/sim/bfin/c_ldst_st_p_d_mm_h.s | 554 + sim/testsuite/sim/bfin/c_ldst_st_p_d_pp.s | 804 + sim/testsuite/sim/bfin/c_ldst_st_p_d_pp_b.s | 455 + sim/testsuite/sim/bfin/c_ldst_st_p_d_pp_h.s | 457 + sim/testsuite/sim/bfin/c_ldst_st_p_p.s | 128 + sim/testsuite/sim/bfin/c_ldst_st_p_p_mm.s | 428 + sim/testsuite/sim/bfin/c_ldst_st_p_p_pp.s | 397 + sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_b.s | 554 + sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_h.s | 595 + sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_xb.s | 594 + sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_xh.s | 595 + sim/testsuite/sim/bfin/c_ldstidxl_ld_dreg.s | 554 + sim/testsuite/sim/bfin/c_ldstidxl_ld_preg.s | 672 + sim/testsuite/sim/bfin/c_ldstidxl_st_dr_b.s | 612 + sim/testsuite/sim/bfin/c_ldstidxl_st_dr_h.s | 609 + sim/testsuite/sim/bfin/c_ldstidxl_st_dreg.s | 780 + sim/testsuite/sim/bfin/c_ldstidxl_st_preg.s | 709 + sim/testsuite/sim/bfin/c_ldstii_ld_dr_h.s | 541 + sim/testsuite/sim/bfin/c_ldstii_ld_dr_xh.s | 541 + sim/testsuite/sim/bfin/c_ldstii_ld_dreg.s | 540 + sim/testsuite/sim/bfin/c_ldstii_ld_preg.s | 564 + sim/testsuite/sim/bfin/c_ldstii_st_dr_h.s | 605 + sim/testsuite/sim/bfin/c_ldstii_st_dreg.s | 640 + sim/testsuite/sim/bfin/c_ldstii_st_preg.s | 603 + sim/testsuite/sim/bfin/c_ldstiifp_ld_dreg.s | 528 + sim/testsuite/sim/bfin/c_ldstiifp_ld_preg.s | 511 + sim/testsuite/sim/bfin/c_ldstiifp_st_dreg.s | 641 + sim/testsuite/sim/bfin/c_ldstiifp_st_preg.s | 618 + sim/testsuite/sim/bfin/c_ldstpmod_ld_dr_hi.s | 411 + sim/testsuite/sim/bfin/c_ldstpmod_ld_dr_lo.s | 410 + sim/testsuite/sim/bfin/c_ldstpmod_ld_dreg.s | 462 + sim/testsuite/sim/bfin/c_ldstpmod_ld_h_xh.s | 458 + sim/testsuite/sim/bfin/c_ldstpmod_ld_lohi.s | 462 + sim/testsuite/sim/bfin/c_ldstpmod_st_dr_hi.s | 400 + sim/testsuite/sim/bfin/c_ldstpmod_st_dr_lo.s | 401 + sim/testsuite/sim/bfin/c_ldstpmod_st_dreg.s | 623 + sim/testsuite/sim/bfin/c_ldstpmod_st_lohi.s | 625 + sim/testsuite/sim/bfin/c_linkage.s | 60 + sim/testsuite/sim/bfin/c_logi2op_alshft_mix.s | 143 + sim/testsuite/sim/bfin/c_logi2op_arith_shft.s | 223 + sim/testsuite/sim/bfin/c_logi2op_bitclr.s | 92 + sim/testsuite/sim/bfin/c_logi2op_bitset.s | 92 + sim/testsuite/sim/bfin/c_logi2op_bittgl.s | 165 + sim/testsuite/sim/bfin/c_logi2op_bittst.s | 583 + sim/testsuite/sim/bfin/c_logi2op_log_l_shft.s | 222 + .../sim/bfin/c_logi2op_log_l_shft_astat.S | 82 + sim/testsuite/sim/bfin/c_logi2op_log_r_shft.s | 222 + .../sim/bfin/c_logi2op_log_r_shft_astat.S | 82 + sim/testsuite/sim/bfin/c_logi2op_nbittst.s | 584 + sim/testsuite/sim/bfin/c_loopsetup_nested.s | 166 + sim/testsuite/sim/bfin/c_loopsetup_nested_bot.s | 165 + sim/testsuite/sim/bfin/c_loopsetup_nested_prelc.s | 184 + sim/testsuite/sim/bfin/c_loopsetup_nested_top.s | 166 + sim/testsuite/sim/bfin/c_loopsetup_overlap.s | 167 + sim/testsuite/sim/bfin/c_loopsetup_preg_div2_lc0.s | 95 + sim/testsuite/sim/bfin/c_loopsetup_preg_div2_lc1.s | 94 + sim/testsuite/sim/bfin/c_loopsetup_preg_lc0.s | 95 + sim/testsuite/sim/bfin/c_loopsetup_preg_lc1.s | 93 + sim/testsuite/sim/bfin/c_loopsetup_preg_stld.s | 194 + sim/testsuite/sim/bfin/c_loopsetup_prelc.s | 145 + sim/testsuite/sim/bfin/c_loopsetup_topbotcntr.s | 110 + sim/testsuite/sim/bfin/c_mmr_interr_ctl.s | 398 + sim/testsuite/sim/bfin/c_mmr_loop.S | 417 + sim/testsuite/sim/bfin/c_mmr_loop_user_except.S | 325 + sim/testsuite/sim/bfin/c_mmr_ppop_illegal_adr.S | 307 + sim/testsuite/sim/bfin/c_mmr_ppopm_illegal_adr.S | 308 + sim/testsuite/sim/bfin/c_mmr_timer.S | 282 + sim/testsuite/sim/bfin/c_mode_supervisor.S | 287 + sim/testsuite/sim/bfin/c_mode_user.S | 338 + sim/testsuite/sim/bfin/c_mode_user_superivsor.S | 353 + sim/testsuite/sim/bfin/c_multi_issue_dsp_ld_ld.s | 197 + sim/testsuite/sim/bfin/c_multi_issue_dsp_ldst_1.s | 198 + sim/testsuite/sim/bfin/c_multi_issue_dsp_ldst_2.s | 198 + sim/testsuite/sim/bfin/c_progctrl_call_pcpr.s | 63 + sim/testsuite/sim/bfin/c_progctrl_call_pr.s | 32 + sim/testsuite/sim/bfin/c_progctrl_clisti_interr.S | 330 + sim/testsuite/sim/bfin/c_progctrl_csync_mmr.S | 280 + sim/testsuite/sim/bfin/c_progctrl_except_rtx.S | 96 + sim/testsuite/sim/bfin/c_progctrl_excpt.S | 261 + sim/testsuite/sim/bfin/c_progctrl_jump_pcpr.s | 58 + sim/testsuite/sim/bfin/c_progctrl_jump_pr.s | 56 + sim/testsuite/sim/bfin/c_progctrl_nop.s | 55 + sim/testsuite/sim/bfin/c_progctrl_raise_rt_i_n.S | 285 + sim/testsuite/sim/bfin/c_progctrl_rts.s | 36 + sim/testsuite/sim/bfin/c_ptr2op_pr_neg_pr.s | 163 + sim/testsuite/sim/bfin/c_ptr2op_pr_sft_2_1.s | 162 + sim/testsuite/sim/bfin/c_ptr2op_pr_shadd_1_2.s | 167 + sim/testsuite/sim/bfin/c_pushpopmultiple_dp.s | 213 + sim/testsuite/sim/bfin/c_pushpopmultiple_dp_pair.s | 203 + sim/testsuite/sim/bfin/c_pushpopmultiple_dreg.s | 173 + sim/testsuite/sim/bfin/c_pushpopmultiple_preg.s | 83 + sim/testsuite/sim/bfin/c_regmv_acc_acc.s | 125 + sim/testsuite/sim/bfin/c_regmv_dag_lz_dep.s | 148 + sim/testsuite/sim/bfin/c_regmv_dr_acc_acc.s | 191 + sim/testsuite/sim/bfin/c_regmv_dr_dep_nostall.s | 245 + sim/testsuite/sim/bfin/c_regmv_dr_dr.s | 209 + sim/testsuite/sim/bfin/c_regmv_dr_imlb.s | 539 + sim/testsuite/sim/bfin/c_regmv_dr_pr.s | 107 + sim/testsuite/sim/bfin/c_regmv_imlb_dep_nostall.s | 664 + sim/testsuite/sim/bfin/c_regmv_imlb_dep_stall.s | 335 + sim/testsuite/sim/bfin/c_regmv_imlb_dr.s | 313 + sim/testsuite/sim/bfin/c_regmv_imlb_imlb.s | 925 + sim/testsuite/sim/bfin/c_regmv_imlb_pr.s | 302 + sim/testsuite/sim/bfin/c_regmv_pr_dep_nostall.s | 280 + sim/testsuite/sim/bfin/c_regmv_pr_dep_stall.s | 237 + sim/testsuite/sim/bfin/c_regmv_pr_dr.s | 147 + sim/testsuite/sim/bfin/c_regmv_pr_imlb.s | 382 + sim/testsuite/sim/bfin/c_regmv_pr_pr.s | 95 + sim/testsuite/sim/bfin/c_seq_ac_raise_mv.S | 342 + sim/testsuite/sim/bfin/c_seq_ac_raise_mv_ppop.S | 359 + sim/testsuite/sim/bfin/c_seq_ac_regmv_pushpop.S | 359 + sim/testsuite/sim/bfin/c_seq_dec_raise_pushpop.S | 341 + sim/testsuite/sim/bfin/c_seq_ex1_brcc_mv_pop.S | 377 + sim/testsuite/sim/bfin/c_seq_ex1_call_mv_pop.S | 393 + sim/testsuite/sim/bfin/c_seq_ex1_j_mv_pop.S | 375 + .../sim/bfin/c_seq_ex1_raise_brcc_mv_pop.S | 377 + .../sim/bfin/c_seq_ex1_raise_call_mv_pop.S | 393 + sim/testsuite/sim/bfin/c_seq_ex1_raise_j_mv_pop.S | 375 + sim/testsuite/sim/bfin/c_seq_ex2_brcc_mp_mv_pop.S | 377 + sim/testsuite/sim/bfin/c_seq_ex2_mmr_mvpop.S | 386 + sim/testsuite/sim/bfin/c_seq_ex2_mmrj_mvpop.S | 386 + sim/testsuite/sim/bfin/c_seq_ex2_raise_mmr_mvpop.S | 385 + .../sim/bfin/c_seq_ex2_raise_mmrj_mvpop.S | 385 + sim/testsuite/sim/bfin/c_seq_ex3_ls_brcc_mvp.S | 440 + sim/testsuite/sim/bfin/c_seq_ex3_ls_mmr_mvp.S | 442 + sim/testsuite/sim/bfin/c_seq_ex3_ls_mmrj_mvp.S | 443 + .../sim/bfin/c_seq_ex3_raise_ls_mmrj_mvp.S | 442 + sim/testsuite/sim/bfin/c_seq_wb_cs_lsmmrj_mvp.S | 446 + .../sim/bfin/c_seq_wb_raisecs_lsmmrj_mvp.S | 446 + sim/testsuite/sim/bfin/c_seq_wb_rti_lsmmrj_mvp.S | 455 + sim/testsuite/sim/bfin/c_seq_wb_rtn_lsmmrj_mvp.S | 447 + sim/testsuite/sim/bfin/c_seq_wb_rtx_lsmmrj_mvp.S | 466 + sim/testsuite/sim/bfin/c_ujump.s | 52 + sim/testsuite/sim/bfin/cc-alu.S | 126 + sim/testsuite/sim/bfin/cc-astat-bits.s | 101 + sim/testsuite/sim/bfin/cc0.s | 30 + sim/testsuite/sim/bfin/cc1.s | 26 + sim/testsuite/sim/bfin/cc5.S | 90 + sim/testsuite/sim/bfin/cec-exact-exception.S | 54 + sim/testsuite/sim/bfin/cec-ifetch.S | 69 + sim/testsuite/sim/bfin/cec-multi-pending.S | 182 + sim/testsuite/sim/bfin/cec-no-snen-reti.S | 128 + sim/testsuite/sim/bfin/cec-non-operating-env.s | 37 + sim/testsuite/sim/bfin/cec-raise-reti.S | 111 + sim/testsuite/sim/bfin/cec-snen-reti.S | 122 + sim/testsuite/sim/bfin/cec-syscfg-ssstep.S | 72 + sim/testsuite/sim/bfin/cec-system-call.S | 64 + sim/testsuite/sim/bfin/cir.s | 20 + sim/testsuite/sim/bfin/cir1.s | 84 + sim/testsuite/sim/bfin/cli-sti.s | 25 + sim/testsuite/sim/bfin/cmpacc.s | 50 + sim/testsuite/sim/bfin/cmpdreg.S | 40 + sim/testsuite/sim/bfin/compare.s | 15 + sim/testsuite/sim/bfin/conv_enc_gen.s | 101 + sim/testsuite/sim/bfin/cycles.s | 41 + sim/testsuite/sim/bfin/d0.s | 31 + sim/testsuite/sim/bfin/d1.s | 17 + sim/testsuite/sim/bfin/d2.s | 56 + sim/testsuite/sim/bfin/dbg_brprd_ntkn_src_kill.S | 545 + sim/testsuite/sim/bfin/dbg_brtkn_nprd_src_kill.S | 544 + sim/testsuite/sim/bfin/dbg_jmp_src_kill.S | 543 + sim/testsuite/sim/bfin/dbg_tr_basic.S | 272 + sim/testsuite/sim/bfin/dbg_tr_simplejp.S | 267 + sim/testsuite/sim/bfin/dbg_tr_tbuf0.S | 262 + sim/testsuite/sim/bfin/dbg_tr_umode.S | 314 + sim/testsuite/sim/bfin/disalnexcpt_implicit.S | 122 + sim/testsuite/sim/bfin/div0.s | 37 + sim/testsuite/sim/bfin/divq.s | 1322 + sim/testsuite/sim/bfin/dotproduct.s | 304 + sim/testsuite/sim/bfin/dotproduct2.s | 299 + sim/testsuite/sim/bfin/double_prec_mult.s | 92 + sim/testsuite/sim/bfin/dsp_a4.s | 113 + sim/testsuite/sim/bfin/dsp_a7.s | 103 + sim/testsuite/sim/bfin/dsp_a8.s | 80 + sim/testsuite/sim/bfin/dsp_d0.s | 31 + sim/testsuite/sim/bfin/dsp_d1.s | 117 + sim/testsuite/sim/bfin/dsp_neg.S | 36 + sim/testsuite/sim/bfin/dsp_s1.s | 85 + sim/testsuite/sim/bfin/e0.s | 51 + sim/testsuite/sim/bfin/edn_snafu.s | 45 + sim/testsuite/sim/bfin/eu_dsp32mac_s.s | 38 + sim/testsuite/sim/bfin/events.s | 44 + sim/testsuite/sim/bfin/f221.s | 56 + sim/testsuite/sim/bfin/fact.s | 47 + sim/testsuite/sim/bfin/fir.s | 201 + sim/testsuite/sim/bfin/fsm.s | 57 + sim/testsuite/sim/bfin/greg2.s | 18 + sim/testsuite/sim/bfin/hwloop-bits.S | 104 + sim/testsuite/sim/bfin/hwloop-branch-in.s | 99 + sim/testsuite/sim/bfin/hwloop-branch-out.s | 129 + sim/testsuite/sim/bfin/hwloop-lt-bits.s | 25 + sim/testsuite/sim/bfin/hwloop-nested.s | 33 + sim/testsuite/sim/bfin/i0.s | 57 + sim/testsuite/sim/bfin/iir.s | 207 + sim/testsuite/sim/bfin/issue103.s | 34 + sim/testsuite/sim/bfin/issue109.s | 16 + sim/testsuite/sim/bfin/issue112.s | 38 + sim/testsuite/sim/bfin/issue113.s | 18 + sim/testsuite/sim/bfin/issue117.s | 19 + sim/testsuite/sim/bfin/issue118.s | 41 + sim/testsuite/sim/bfin/issue119.s | 26 + sim/testsuite/sim/bfin/issue121.s | 40 + sim/testsuite/sim/bfin/issue123.s | 20 + sim/testsuite/sim/bfin/issue124.s | 26 + sim/testsuite/sim/bfin/issue125.s | 75 + sim/testsuite/sim/bfin/issue126.s | 19 + sim/testsuite/sim/bfin/issue127.s | 35 + sim/testsuite/sim/bfin/issue129.s | 36 + sim/testsuite/sim/bfin/issue139.S | 108 + sim/testsuite/sim/bfin/issue140.S | 22 + sim/testsuite/sim/bfin/issue142.s | 34 + sim/testsuite/sim/bfin/issue144.s | 31 + sim/testsuite/sim/bfin/issue146.S | 32 + sim/testsuite/sim/bfin/issue175.s | 34 + sim/testsuite/sim/bfin/issue205.s | 66 + sim/testsuite/sim/bfin/issue257.s | 28 + sim/testsuite/sim/bfin/issue272.S | 23 + sim/testsuite/sim/bfin/issue83.s | 93 + sim/testsuite/sim/bfin/issue89.s | 30 + sim/testsuite/sim/bfin/l0.s | 137 + sim/testsuite/sim/bfin/l0shift.s | 13 + sim/testsuite/sim/bfin/l2_loop.s | 28 + sim/testsuite/sim/bfin/link-2.s | 24 + sim/testsuite/sim/bfin/link.s | 67 + sim/testsuite/sim/bfin/lmu_cplb_multiple0.S | 2678 ++ sim/testsuite/sim/bfin/lmu_cplb_multiple1.S | 2680 ++ sim/testsuite/sim/bfin/lmu_excpt_align.S | 345 + sim/testsuite/sim/bfin/lmu_excpt_default.S | 307 + sim/testsuite/sim/bfin/lmu_excpt_illaddr.S | 337 + sim/testsuite/sim/bfin/lmu_excpt_prot0.S | 392 + sim/testsuite/sim/bfin/lmu_excpt_prot1.S | 401 + sim/testsuite/sim/bfin/load.s | 239 + sim/testsuite/sim/bfin/logic.s | 64 + sim/testsuite/sim/bfin/loop_snafu.s | 28 + sim/testsuite/sim/bfin/loop_strncpy.s | 76 + sim/testsuite/sim/bfin/lp0.s | 17 + sim/testsuite/sim/bfin/lp1.s | 16 + sim/testsuite/sim/bfin/lsetup.s | 109 + sim/testsuite/sim/bfin/m0boundary.s | 46 + sim/testsuite/sim/bfin/m1.S | 58 + sim/testsuite/sim/bfin/m10.s | 63 + sim/testsuite/sim/bfin/m11.s | 72 + sim/testsuite/sim/bfin/m12.s | 74 + sim/testsuite/sim/bfin/m13.s | 93 + sim/testsuite/sim/bfin/m14.s | 82 + sim/testsuite/sim/bfin/m15.s | 80 + sim/testsuite/sim/bfin/m16.s | 65 + sim/testsuite/sim/bfin/m17.s | 74 + sim/testsuite/sim/bfin/m2.s | 263 + sim/testsuite/sim/bfin/m3.s | 138 + sim/testsuite/sim/bfin/m4.s | 124 + sim/testsuite/sim/bfin/m5.s | 153 + sim/testsuite/sim/bfin/m6.s | 57 + sim/testsuite/sim/bfin/m7.s | 66 + sim/testsuite/sim/bfin/m8.s | 54 + sim/testsuite/sim/bfin/m9.s | 91 + sim/testsuite/sim/bfin/mac2halfreg.S | 27 + sim/testsuite/sim/bfin/math.s | 66 + sim/testsuite/sim/bfin/max_min_flags.s | 275 + sim/testsuite/sim/bfin/mc_s2.s | 78 + sim/testsuite/sim/bfin/mdma-32bit-1d-neg-count.c | 18 + sim/testsuite/sim/bfin/mdma-32bit-1d.c | 17 + sim/testsuite/sim/bfin/mdma-8bit-1d-neg-count.c | 18 + sim/testsuite/sim/bfin/mdma-8bit-1d.c | 17 + sim/testsuite/sim/bfin/mdma-skel.h | 79 + sim/testsuite/sim/bfin/mem3.s | 42 + sim/testsuite/sim/bfin/mmr-exception.s | 43 + sim/testsuite/sim/bfin/move.s | 36 + sim/testsuite/sim/bfin/msa_acp_5.10.S | 40 + sim/testsuite/sim/bfin/msa_acp_5.12_1.S | 71 + sim/testsuite/sim/bfin/msa_acp_5.12_2.S | 58 + sim/testsuite/sim/bfin/msa_acp_5_10.s | 69 + sim/testsuite/sim/bfin/mult.s | 22 + sim/testsuite/sim/bfin/neg-2.S | 42 + sim/testsuite/sim/bfin/neg-3.S | 42 + sim/testsuite/sim/bfin/neg.S | 42 + sim/testsuite/sim/bfin/nshift.s | 33 + sim/testsuite/sim/bfin/pr.s | 81 + sim/testsuite/sim/bfin/push-pop-multiple.s | 169 + sim/testsuite/sim/bfin/push-pop.s | 95 + sim/testsuite/sim/bfin/pushpopreg_1.s | 292 + sim/testsuite/sim/bfin/quadaddsub.s | 58 + sim/testsuite/sim/bfin/random_0001.s | 13 + sim/testsuite/sim/bfin/random_0002.S | 25 + sim/testsuite/sim/bfin/random_0003.S | 48 + sim/testsuite/sim/bfin/random_0004.S | 33 + sim/testsuite/sim/bfin/random_0005.S | 24 + sim/testsuite/sim/bfin/random_0006.S | 23 + sim/testsuite/sim/bfin/random_0007.S | 60 + sim/testsuite/sim/bfin/random_0008.S | 44 + sim/testsuite/sim/bfin/random_0009.S | 103 + sim/testsuite/sim/bfin/random_0010.S | 78 + sim/testsuite/sim/bfin/random_0011.S | 102 + sim/testsuite/sim/bfin/random_0012.S | 52 + sim/testsuite/sim/bfin/random_0013.S | 417 + sim/testsuite/sim/bfin/random_0031.S | 185 + sim/testsuite/sim/bfin/random_0033.S | 64 + sim/testsuite/sim/bfin/random_0034.S | 129 + sim/testsuite/sim/bfin/run-tests.sh | 225 + sim/testsuite/sim/bfin/s0.s | 12 + sim/testsuite/sim/bfin/s1.s | 25 + sim/testsuite/sim/bfin/s10.s | 77 + sim/testsuite/sim/bfin/s11.s | 177 + sim/testsuite/sim/bfin/s12.s | 84 + sim/testsuite/sim/bfin/s13.s | 215 + sim/testsuite/sim/bfin/s14.s | 350 + sim/testsuite/sim/bfin/s15.s | 149 + sim/testsuite/sim/bfin/s16.s | 170 + sim/testsuite/sim/bfin/s17.s | 46 + sim/testsuite/sim/bfin/s18.s | 132 + sim/testsuite/sim/bfin/s19.s | 140 + sim/testsuite/sim/bfin/s2.s | 47 + sim/testsuite/sim/bfin/s20.s | 25 + sim/testsuite/sim/bfin/s21.s | 298 + sim/testsuite/sim/bfin/s3.s | 88 + sim/testsuite/sim/bfin/s30.s | 152 + sim/testsuite/sim/bfin/s4.s | 214 + sim/testsuite/sim/bfin/s5.s | 118 + sim/testsuite/sim/bfin/s6.s | 83 + sim/testsuite/sim/bfin/s7.s | 83 + sim/testsuite/sim/bfin/s8.s | 55 + sim/testsuite/sim/bfin/s9.s | 134 + sim/testsuite/sim/bfin/saatest.s | 222 + sim/testsuite/sim/bfin/se_all16bitopcodes.S | 444 + sim/testsuite/sim/bfin/se_all32bitopcodes.S |34304 ++++++++++++++++++++ sim/testsuite/sim/bfin/se_all32bitopcodes.lds | 16 + sim/testsuite/sim/bfin/se_brtarget_stall.S | 462 + sim/testsuite/sim/bfin/se_bug_ui.S | 296 + sim/testsuite/sim/bfin/se_bug_ui2.S | 296 + sim/testsuite/sim/bfin/se_bug_ui3.S | 300 + sim/testsuite/sim/bfin/se_cc2stat_haz.S | 632 + sim/testsuite/sim/bfin/se_cc_kill.S | 480 + sim/testsuite/sim/bfin/se_cof.S | 424 + sim/testsuite/sim/bfin/se_event_quad.S | 436 + sim/testsuite/sim/bfin/se_excpt_dagprotviol.S | 281 + sim/testsuite/sim/bfin/se_excpt_ifprotviol.S | 280 + sim/testsuite/sim/bfin/se_excpt_ssstep.S | 290 + sim/testsuite/sim/bfin/se_illegalcombination.S | 622 + sim/testsuite/sim/bfin/se_kill_wbbr.S | 422 + sim/testsuite/sim/bfin/se_kills2.S | 148 + sim/testsuite/sim/bfin/se_loop_disable.S | 408 + sim/testsuite/sim/bfin/se_loop_kill.S | 519 + sim/testsuite/sim/bfin/se_loop_kill_01.S | 521 + sim/testsuite/sim/bfin/se_loop_kill_dcr.S | 914 + sim/testsuite/sim/bfin/se_loop_kill_dcr_01.S | 917 + sim/testsuite/sim/bfin/se_loop_lr.S | 507 + sim/testsuite/sim/bfin/se_loop_mv2lb_stall.S | 612 + sim/testsuite/sim/bfin/se_loop_mv2lc.S | 777 + sim/testsuite/sim/bfin/se_loop_mv2lc_stall.S | 612 + sim/testsuite/sim/bfin/se_loop_mv2lt_stall.S | 612 + sim/testsuite/sim/bfin/se_loop_nest_ppm.S | 442 + sim/testsuite/sim/bfin/se_loop_nest_ppm_1.S | 442 + sim/testsuite/sim/bfin/se_loop_nest_ppm_2.S | 491 + sim/testsuite/sim/bfin/se_loop_ppm.S | 477 + sim/testsuite/sim/bfin/se_loop_ppm_1.S | 519 + sim/testsuite/sim/bfin/se_loop_ppm_int.S | 429 + sim/testsuite/sim/bfin/se_lsetup_kill.S | 776 + sim/testsuite/sim/bfin/se_misaligned_fetch.S | 286 + sim/testsuite/sim/bfin/se_more_ret_haz.S | 271 + sim/testsuite/sim/bfin/se_mv2lp.S | 481 + sim/testsuite/sim/bfin/se_oneins_zoff.S | 487 + sim/testsuite/sim/bfin/se_popkill.S | 566 + sim/testsuite/sim/bfin/se_regmv_usp_sysreg.S | 171 + sim/testsuite/sim/bfin/se_rets_hazard.s | 55 + sim/testsuite/sim/bfin/se_rts_rti.S | 442 + sim/testsuite/sim/bfin/se_ssstep_dagprotviol.S | 297 + sim/testsuite/sim/bfin/se_ssync.S | 61 + sim/testsuite/sim/bfin/se_stall_if2.S | 458 + sim/testsuite/sim/bfin/se_undefinedinstruction1.S | 1102 + sim/testsuite/sim/bfin/se_undefinedinstruction2.S | 3147 ++ sim/testsuite/sim/bfin/se_undefinedinstruction3.S | 6022 ++++ sim/testsuite/sim/bfin/se_undefinedinstruction4.S | 1298 + sim/testsuite/sim/bfin/se_usermode_protviol.S | 317 + sim/testsuite/sim/bfin/seqstat.s | 25 + sim/testsuite/sim/bfin/sign.s | 27 + sim/testsuite/sim/bfin/simple0.s | 10 + sim/testsuite/sim/bfin/sri.s | 21 + sim/testsuite/sim/bfin/stk.s | 78 + sim/testsuite/sim/bfin/stk2.s | 107 + sim/testsuite/sim/bfin/stk3.s | 106 + sim/testsuite/sim/bfin/stk4.s | 110 + sim/testsuite/sim/bfin/stk5.s | 34 + sim/testsuite/sim/bfin/stk6.s | 58 + sim/testsuite/sim/bfin/syscfg.s | 25 + sim/testsuite/sim/bfin/tar10622.s | 20 + sim/testsuite/sim/bfin/test-dma.h | 28 + sim/testsuite/sim/bfin/test.h | 134 + sim/testsuite/sim/bfin/testset.s | 73 + sim/testsuite/sim/bfin/testset2.s | 37 + sim/testsuite/sim/bfin/testutils.inc | 295 + sim/testsuite/sim/bfin/unlink.S | 68 + sim/testsuite/sim/bfin/up0.s | 41 + sim/testsuite/sim/bfin/usp.S | 50 + sim/testsuite/sim/bfin/vec-abs-2.S | 42 + sim/testsuite/sim/bfin/vec-abs-3.S | 42 + sim/testsuite/sim/bfin/vec-abs.S | 42 + sim/testsuite/sim/bfin/vec-neg-2.S | 42 + sim/testsuite/sim/bfin/vec-neg-3.S | 42 + sim/testsuite/sim/bfin/vec-neg.S | 42 + sim/testsuite/sim/bfin/vecadd.s | 65 + sim/testsuite/sim/bfin/vit_max.s | 57 + sim/testsuite/sim/bfin/viterbi2.s | 254 + sim/testsuite/sim/bfin/wtf.s | 26 + sim/testsuite/sim/bfin/x1.s | 79 + sim/testsuite/sim/bfin/zcall.s | 44 + sim/testsuite/sim/bfin/zeroflagrnd.s | 37 + 1173 files changed, 241647 insertions(+), 10494 deletions(-) create mode 100644 bfd/elfnn-ia64.c create mode 100644 bfd/elfxx-ia64.h create mode 100644 gdb/common/gdb_thread_db.h create mode 100644 gdb/continuations.c create mode 100644 gdb/continuations.h delete mode 100644 gdb/gdb_thread_db.h create mode 100644 gdb/testsuite/gdb.arch/i386-dr3-watch.c create mode 100644 gdb/testsuite/gdb.arch/i386-dr3-watch.exp create mode 100644 gdb/testsuite/gdb.base/async-shell.c create mode 100644 gdb/testsuite/gdb.base/async-shell.exp create mode 100644 gdb/testsuite/gdb.base/kill-after-signal.c create mode 100644 gdb/testsuite/gdb.base/kill-after-signal.exp create mode 100644 gdb/testsuite/gdb.cp/anon-ns.cc create mode 100644 gdb/testsuite/gdb.cp/anon-ns.exp create mode 100644 gdb/testsuite/gdb.cp/static-method.cc create mode 100644 gdb/testsuite/gdb.cp/static-method.exp create mode 100644 gdb/testsuite/gdb.dwarf2/clztest.S create mode 100644 gdb/testsuite/gdb.dwarf2/clztest.c create mode 100644 gdb/testsuite/gdb.dwarf2/clztest.exp create mode 100644 gdb/testsuite/gdb.dwarf2/dw4-sig-type-unused.S create mode 100644 gdb/testsuite/gdb.dwarf2/dw4-sig-type-unused.exp create mode 100644 gdb/testsuite/gdb.dwarf2/typeddwarf.S create mode 100644 gdb/testsuite/gdb.dwarf2/typeddwarf.c create mode 100644 gdb/testsuite/gdb.dwarf2/typeddwarf.exp create mode 100644 gdb/testsuite/gdb.python/py-objfile-script-gdb.py create mode 100644 gdb/testsuite/gdb.python/py-objfile-script.c create mode 100644 gdb/testsuite/gdb.python/py-objfile-script.exp create mode 100644 gdb/testsuite/gdb.reverse/finish-reverse-bkpt.exp create mode 100644 gdb/testsuite/gdb.reverse/next-reverse-bkpt-over-sr.exp create mode 100644 gdb/testsuite/gdb.threads/leader-exit.c create mode 100644 gdb/testsuite/gdb.threads/leader-exit.exp create mode 100644 sim/bfin/bfroms/bf526-0.2.h create mode 100644 sim/bfin/bfroms/bf54x-0.4.h create mode 100644 sim/bfin/bfroms/bf54x_l1-0.4.h create mode 100644 sim/bfin/dv-bfin_pfmon.c create mode 100644 sim/bfin/dv-bfin_pfmon.h create mode 100644 sim/testsuite/sim/bfin/.gitignore create mode 100644 sim/testsuite/sim/bfin/10272_small.s create mode 100644 sim/testsuite/sim/bfin/10436.s create mode 100644 sim/testsuite/sim/bfin/10622.s create mode 100644 sim/testsuite/sim/bfin/10742.s create mode 100644 sim/testsuite/sim/bfin/10799.s create mode 100644 sim/testsuite/sim/bfin/11080.s create mode 100644 sim/testsuite/sim/bfin/7641.s create mode 100644 sim/testsuite/sim/bfin/ChangeLog create mode 100644 sim/testsuite/sim/bfin/PN_generator.s create mode 100644 sim/testsuite/sim/bfin/a0.s create mode 100644 sim/testsuite/sim/bfin/a0shift.S create mode 100644 sim/testsuite/sim/bfin/a1.s create mode 100644 sim/testsuite/sim/bfin/a10.s create mode 100644 sim/testsuite/sim/bfin/a11.S create mode 100644 sim/testsuite/sim/bfin/a12.s create mode 100644 sim/testsuite/sim/bfin/a2.s create mode 100644 sim/testsuite/sim/bfin/a20.S create mode 100644 sim/testsuite/sim/bfin/a21.s create mode 100644 sim/testsuite/sim/bfin/a22.s create mode 100644 sim/testsuite/sim/bfin/a23.s create mode 100644 sim/testsuite/sim/bfin/a24.s create mode 100644 sim/testsuite/sim/bfin/a25.s create mode 100644 sim/testsuite/sim/bfin/a26.s create mode 100644 sim/testsuite/sim/bfin/a3.s create mode 100644 sim/testsuite/sim/bfin/a30.s create mode 100644 sim/testsuite/sim/bfin/a4.s create mode 100644 sim/testsuite/sim/bfin/a5.s create mode 100644 sim/testsuite/sim/bfin/a6.s create mode 100644 sim/testsuite/sim/bfin/a7.s create mode 100644 sim/testsuite/sim/bfin/a8.s create mode 100644 sim/testsuite/sim/bfin/a9.s create mode 100644 sim/testsuite/sim/bfin/abs-2.S create mode 100644 sim/testsuite/sim/bfin/abs-3.S create mode 100644 sim/testsuite/sim/bfin/abs-4.S create mode 100644 sim/testsuite/sim/bfin/abs.S create mode 100644 sim/testsuite/sim/bfin/abs_acc.s create mode 100644 sim/testsuite/sim/bfin/acc-rot.s create mode 100644 sim/testsuite/sim/bfin/acp5_19.s create mode 100644 sim/testsuite/sim/bfin/acp5_4.s create mode 100644 sim/testsuite/sim/bfin/add_imm7.s create mode 100644 sim/testsuite/sim/bfin/add_shift.S create mode 100644 sim/testsuite/sim/bfin/add_sub_acc.s create mode 100644 sim/testsuite/sim/bfin/addsub_flags.S create mode 100644 sim/testsuite/sim/bfin/algnbug1.s create mode 100644 sim/testsuite/sim/bfin/algnbug2.s create mode 100644 sim/testsuite/sim/bfin/allinsn.exp create mode 100644 sim/testsuite/sim/bfin/argc.c create mode 100644 sim/testsuite/sim/bfin/ashift.s create mode 100644 sim/testsuite/sim/bfin/ashift_flags.s create mode 100644 sim/testsuite/sim/bfin/b0.S create mode 100644 sim/testsuite/sim/bfin/b1.s create mode 100644 sim/testsuite/sim/bfin/b2.S create mode 100644 sim/testsuite/sim/bfin/brcc.s create mode 100644 sim/testsuite/sim/bfin/brevadd.s create mode 100644 sim/testsuite/sim/bfin/byteop16m.s create mode 100644 sim/testsuite/sim/bfin/byteop16p.s create mode 100644 sim/testsuite/sim/bfin/byteop1p.s create mode 100644 sim/testsuite/sim/bfin/byteop2p.s create mode 100644 sim/testsuite/sim/bfin/byteop3p.s create mode 100644 sim/testsuite/sim/bfin/byteunpack.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_arith_r_sft.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_b.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_h.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_mix.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_neg.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_toggle.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_xb.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_conv_xh.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_divq.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_divs.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_log_l_sft.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_log_r_sft.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_shadd_1.s create mode 100644 sim/testsuite/sim/bfin/c_alu2op_shadd_2.s create mode 100644 sim/testsuite/sim/bfin/c_br_preg_killed_ac.s create mode 100644 sim/testsuite/sim/bfin/c_br_preg_killed_ex1.s create mode 100644 sim/testsuite/sim/bfin/c_br_preg_stall_ac.s create mode 100644 sim/testsuite/sim/bfin/c_br_preg_stall_ex1.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_bp1.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_bp2.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_bp3.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_bp4.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brf_bp.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brf_brt_bp.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brf_brt_nbp.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brf_fbkwd.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brf_nbp.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brt_bp.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_brt_nbp.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_kills_dhits.s create mode 100644 sim/testsuite/sim/bfin/c_brcc_kills_dmiss.s create mode 100644 sim/testsuite/sim/bfin/c_cactrl_iflush_pr.s create mode 100644 sim/testsuite/sim/bfin/c_cactrl_iflush_pr_pp.s create mode 100644 sim/testsuite/sim/bfin/c_calla_ljump.s create mode 100644 sim/testsuite/sim/bfin/c_calla_subr.s create mode 100644 sim/testsuite/sim/bfin/c_cc2dreg.s create mode 100644 sim/testsuite/sim/bfin/c_cc2stat_cc_ac.S create mode 100644 sim/testsuite/sim/bfin/c_cc2stat_cc_an.s create mode 100644 sim/testsuite/sim/bfin/c_cc2stat_cc_aq.s create mode 100644 sim/testsuite/sim/bfin/c_cc2stat_cc_av0.S create mode 100644 sim/testsuite/sim/bfin/c_cc2stat_cc_av1.S create mode 100644 sim/testsuite/sim/bfin/c_cc2stat_cc_az.s create mode 100644 sim/testsuite/sim/bfin/c_cc_flag_ccmv_depend.S create mode 100644 sim/testsuite/sim/bfin/c_cc_flagdreg_mvbrsft.s create mode 100644 sim/testsuite/sim/bfin/c_cc_flagdreg_mvbrsft_s1.s create mode 100644 sim/testsuite/sim/bfin/c_cc_flagdreg_mvbrsft_sn.s create mode 100644 sim/testsuite/sim/bfin/c_cc_regmvlogi_mvbrsft.s create mode 100644 sim/testsuite/sim/bfin/c_cc_regmvlogi_mvbrsft_s1.s create mode 100644 sim/testsuite/sim/bfin/c_cc_regmvlogi_mvbrsft_sn.S create mode 100644 sim/testsuite/sim/bfin/c_ccflag_a0a1.S create mode 100644 sim/testsuite/sim/bfin/c_ccflag_dr_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_dr_dr_uu.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_dr_imm3.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_dr_imm3_uu.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_pr_imm3.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_pr_imm3_uu.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_pr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ccflag_pr_pr_uu.s create mode 100644 sim/testsuite/sim/bfin/c_ccmv_cc_dr_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ccmv_cc_dr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ccmv_cc_pr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ccmv_ncc_dr_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ccmv_ncc_dr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ccmv_ncc_pr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_dr_and_dr.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_dr_minus_dr.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_dr_mix.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_dr_or_dr.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_dr_plus_dr.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_dr_xor_dr.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_pr_plus_pr_sh1.s create mode 100644 sim/testsuite/sim/bfin/c_comp3op_pr_plus_pr_sh2.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opd_dr_add_i7_n.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opd_dr_add_i7_p.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opd_dr_eq_i7_n.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opd_dr_eq_i7_p.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opd_flags.S create mode 100644 sim/testsuite/sim/bfin/c_compi2opd_flags_2.S create mode 100644 sim/testsuite/sim/bfin/c_compi2opp_pr_add_i7_n.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opp_pr_add_i7_p.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opp_pr_eq_i7_n.s create mode 100644 sim/testsuite/sim/bfin/c_compi2opp_pr_eq_i7_p.s create mode 100644 sim/testsuite/sim/bfin/c_dagmodik_lnz_imgebl.s create mode 100644 sim/testsuite/sim/bfin/c_dagmodik_lnz_imltbl.s create mode 100644 sim/testsuite/sim/bfin/c_dagmodik_lz_inc_dec.s create mode 100644 sim/testsuite/sim/bfin/c_dagmodim_lnz_imgebl.s create mode 100644 sim/testsuite/sim/bfin/c_dagmodim_lnz_imltbl.s create mode 100644 sim/testsuite/sim/bfin/c_dagmodim_lz_inc_dec.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_a0_pm_a1.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_a0a1s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_a_abs_a.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_a_neg_a.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_aa_absabs.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_aa_negneg.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_abs.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_absabs.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_alhwx.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_awx.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_byteop1ew.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_byteop2.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_byteop3.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_bytepack.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_byteunpack.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_disalnexcpt.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_max.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_maxmax.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_min.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_minmin.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_mix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_r_lh_a0pa1.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_r_negneg.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rh_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rh_p.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd12_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd12_p.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd20_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rh_rnd20_p.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rl_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rl_p.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd12_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd12_p.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd20_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rl_rnd20_p.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rlh_rnd.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rm.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rmm.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rmp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rpm.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rpp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rr_lph_a1a0.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrpm.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrpm_aa.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrpmmp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrpmmp_sft.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrpmmp_sft_x.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrppmm.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrppmm_sft.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_rrppmm_sft_x.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_saa.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_sat_aa.S create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_search.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32alu_sgn.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_a1a0.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_a1a0_iuw32.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_a1a0_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_ih.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_iu.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_t.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_tu.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a0_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_ih.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_iu.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_t.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_tu.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1a0.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1a0_iutsh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_dr_a1a0_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_mix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a0.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a0_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_a1a0_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mac_pair_mix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_ih.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_iu.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_m_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_m_iutsh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_m_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_m_t.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_m_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_mix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_t.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_tu.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_dr_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_m.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_m_i.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_m_is.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_m_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_m_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32mult_pair_u.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_a0alr.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_af.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_af_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_ln.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_ln_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_lp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_lp_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rn.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rn_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahalf_rp_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ahh_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_align16.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_align24.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_align8.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_amix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_bitmux.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_bxor.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_expadj_h.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_expadj_l.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_expadj_r.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_expexp_r.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_fdepx.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_fextx.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lf.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lhalf_ln.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lhalf_lp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lhalf_rn.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lhalf_rp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lhh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_lmix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_ones.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_pack.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_rot.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_rot_mix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_signbits_r.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_signbits_rh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_signbits_rl.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_vmax.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shift_vmaxvmax.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_a0alr.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_af.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_af_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_ln.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_ln_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_lp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_lp_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rn.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rn_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahalf_rp_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_ahh_s.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_amix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lf.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_ln.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_lp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_rn.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lhalf_rp.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lhh.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_lmix.s create mode 100644 sim/testsuite/sim/bfin/c_dsp32shiftim_rot.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_dr_i.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_dr_ipp.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_dr_ippm.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_drhi_i.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_drhi_ipp.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_drlo_i.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_ld_drlo_ipp.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_dr_i.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_dr_ipp.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_dr_ippm.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_drhi_i.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_drhi_ipp.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_drlo_i.s create mode 100644 sim/testsuite/sim/bfin/c_dspldst_st_drlo_ipp.s create mode 100644 sim/testsuite/sim/bfin/c_except_illopcode.S create mode 100644 sim/testsuite/sim/bfin/c_except_sys_sstep.S create mode 100644 sim/testsuite/sim/bfin/c_except_user_mode.S create mode 100644 sim/testsuite/sim/bfin/c_interr_disable.S create mode 100644 sim/testsuite/sim/bfin/c_interr_disable_enable.S create mode 100644 sim/testsuite/sim/bfin/c_interr_excpt.S create mode 100644 sim/testsuite/sim/bfin/c_interr_loopsetup_stld.S create mode 100644 sim/testsuite/sim/bfin/c_interr_nested.S create mode 100644 sim/testsuite/sim/bfin/c_interr_nmi.S create mode 100644 sim/testsuite/sim/bfin/c_interr_pending.S create mode 100644 sim/testsuite/sim/bfin/c_interr_pending_2.S create mode 100644 sim/testsuite/sim/bfin/c_interr_timer.S create mode 100644 sim/testsuite/sim/bfin/c_interr_timer_reload.S create mode 100644 sim/testsuite/sim/bfin/c_interr_timer_tcount.S create mode 100644 sim/testsuite/sim/bfin/c_interr_timer_tscale.S create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_drhi.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_drlo.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_h_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_h_ibml.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_h_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_l_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_l_ibml.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_l_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_lz_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_lz_ibml.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_lz_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_lzhi_dr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_lzhi_ibml.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_lzhi_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ldimmhalf_pibml.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_xb.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_mm_xh.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_xb.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_pp_xh.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_ppmm_hbx.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_xb.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_d_p_xh.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_p_p.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_p_p_mm.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_ld_p_p_pp.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_mm.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_mm_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_mm_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_pp.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_pp_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_d_pp_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_p.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_p_mm.s create mode 100644 sim/testsuite/sim/bfin/c_ldst_st_p_p_pp.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_xb.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_ld_dr_xh.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_ld_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_ld_preg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_st_dr_b.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_st_dr_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_st_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstidxl_st_preg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_ld_dr_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_ld_dr_xh.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_ld_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_ld_preg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_st_dr_h.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_st_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstii_st_preg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstiifp_ld_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstiifp_ld_preg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstiifp_st_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstiifp_st_preg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_ld_dr_hi.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_ld_dr_lo.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_ld_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_ld_h_xh.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_ld_lohi.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_st_dr_hi.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_st_dr_lo.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_st_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_ldstpmod_st_lohi.s create mode 100644 sim/testsuite/sim/bfin/c_linkage.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_alshft_mix.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_arith_shft.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_bitclr.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_bitset.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_bittgl.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_bittst.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_log_l_shft.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_log_l_shft_astat.S create mode 100644 sim/testsuite/sim/bfin/c_logi2op_log_r_shft.s create mode 100644 sim/testsuite/sim/bfin/c_logi2op_log_r_shft_astat.S create mode 100644 sim/testsuite/sim/bfin/c_logi2op_nbittst.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_nested.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_nested_bot.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_nested_prelc.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_nested_top.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_overlap.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_preg_div2_lc0.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_preg_div2_lc1.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_preg_lc0.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_preg_lc1.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_preg_stld.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_prelc.s create mode 100644 sim/testsuite/sim/bfin/c_loopsetup_topbotcntr.s create mode 100644 sim/testsuite/sim/bfin/c_mmr_interr_ctl.s create mode 100644 sim/testsuite/sim/bfin/c_mmr_loop.S create mode 100644 sim/testsuite/sim/bfin/c_mmr_loop_user_except.S create mode 100644 sim/testsuite/sim/bfin/c_mmr_ppop_illegal_adr.S create mode 100644 sim/testsuite/sim/bfin/c_mmr_ppopm_illegal_adr.S create mode 100644 sim/testsuite/sim/bfin/c_mmr_timer.S create mode 100644 sim/testsuite/sim/bfin/c_mode_supervisor.S create mode 100644 sim/testsuite/sim/bfin/c_mode_user.S create mode 100644 sim/testsuite/sim/bfin/c_mode_user_superivsor.S create mode 100644 sim/testsuite/sim/bfin/c_multi_issue_dsp_ld_ld.s create mode 100644 sim/testsuite/sim/bfin/c_multi_issue_dsp_ldst_1.s create mode 100644 sim/testsuite/sim/bfin/c_multi_issue_dsp_ldst_2.s create mode 100644 sim/testsuite/sim/bfin/c_progctrl_call_pcpr.s create mode 100644 sim/testsuite/sim/bfin/c_progctrl_call_pr.s create mode 100644 sim/testsuite/sim/bfin/c_progctrl_clisti_interr.S create mode 100644 sim/testsuite/sim/bfin/c_progctrl_csync_mmr.S create mode 100644 sim/testsuite/sim/bfin/c_progctrl_except_rtx.S create mode 100644 sim/testsuite/sim/bfin/c_progctrl_excpt.S create mode 100644 sim/testsuite/sim/bfin/c_progctrl_jump_pcpr.s create mode 100644 sim/testsuite/sim/bfin/c_progctrl_jump_pr.s create mode 100644 sim/testsuite/sim/bfin/c_progctrl_nop.s create mode 100644 sim/testsuite/sim/bfin/c_progctrl_raise_rt_i_n.S create mode 100644 sim/testsuite/sim/bfin/c_progctrl_rts.s create mode 100644 sim/testsuite/sim/bfin/c_ptr2op_pr_neg_pr.s create mode 100644 sim/testsuite/sim/bfin/c_ptr2op_pr_sft_2_1.s create mode 100644 sim/testsuite/sim/bfin/c_ptr2op_pr_shadd_1_2.s create mode 100644 sim/testsuite/sim/bfin/c_pushpopmultiple_dp.s create mode 100644 sim/testsuite/sim/bfin/c_pushpopmultiple_dp_pair.s create mode 100644 sim/testsuite/sim/bfin/c_pushpopmultiple_dreg.s create mode 100644 sim/testsuite/sim/bfin/c_pushpopmultiple_preg.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_acc_acc.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_dag_lz_dep.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_dr_acc_acc.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_dr_dep_nostall.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_dr_dr.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_dr_imlb.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_dr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_imlb_dep_nostall.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_imlb_dep_stall.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_imlb_dr.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_imlb_imlb.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_imlb_pr.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_pr_dep_nostall.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_pr_dep_stall.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_pr_dr.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_pr_imlb.s create mode 100644 sim/testsuite/sim/bfin/c_regmv_pr_pr.s create mode 100644 sim/testsuite/sim/bfin/c_seq_ac_raise_mv.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ac_raise_mv_ppop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ac_regmv_pushpop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_dec_raise_pushpop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex1_brcc_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex1_call_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex1_j_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex1_raise_brcc_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex1_raise_call_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex1_raise_j_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex2_brcc_mp_mv_pop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex2_mmr_mvpop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex2_mmrj_mvpop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex2_raise_mmr_mvpop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex2_raise_mmrj_mvpop.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex3_ls_brcc_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex3_ls_mmr_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex3_ls_mmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_ex3_raise_ls_mmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_wb_cs_lsmmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_wb_raisecs_lsmmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_wb_rti_lsmmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_wb_rtn_lsmmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_seq_wb_rtx_lsmmrj_mvp.S create mode 100644 sim/testsuite/sim/bfin/c_ujump.s create mode 100644 sim/testsuite/sim/bfin/cc-alu.S create mode 100644 sim/testsuite/sim/bfin/cc-astat-bits.s create mode 100644 sim/testsuite/sim/bfin/cc0.s create mode 100644 sim/testsuite/sim/bfin/cc1.s create mode 100644 sim/testsuite/sim/bfin/cc5.S create mode 100644 sim/testsuite/sim/bfin/cec-exact-exception.S create mode 100644 sim/testsuite/sim/bfin/cec-ifetch.S create mode 100644 sim/testsuite/sim/bfin/cec-multi-pending.S create mode 100644 sim/testsuite/sim/bfin/cec-no-snen-reti.S create mode 100644 sim/testsuite/sim/bfin/cec-non-operating-env.s create mode 100644 sim/testsuite/sim/bfin/cec-raise-reti.S create mode 100644 sim/testsuite/sim/bfin/cec-snen-reti.S create mode 100644 sim/testsuite/sim/bfin/cec-syscfg-ssstep.S create mode 100644 sim/testsuite/sim/bfin/cec-system-call.S create mode 100644 sim/testsuite/sim/bfin/cir.s create mode 100644 sim/testsuite/sim/bfin/cir1.s create mode 100644 sim/testsuite/sim/bfin/cli-sti.s create mode 100644 sim/testsuite/sim/bfin/cmpacc.s create mode 100644 sim/testsuite/sim/bfin/cmpdreg.S create mode 100644 sim/testsuite/sim/bfin/compare.s create mode 100644 sim/testsuite/sim/bfin/conv_enc_gen.s create mode 100644 sim/testsuite/sim/bfin/cycles.s create mode 100644 sim/testsuite/sim/bfin/d0.s create mode 100644 sim/testsuite/sim/bfin/d1.s create mode 100644 sim/testsuite/sim/bfin/d2.s create mode 100644 sim/testsuite/sim/bfin/dbg_brprd_ntkn_src_kill.S create mode 100644 sim/testsuite/sim/bfin/dbg_brtkn_nprd_src_kill.S create mode 100644 sim/testsuite/sim/bfin/dbg_jmp_src_kill.S create mode 100644 sim/testsuite/sim/bfin/dbg_tr_basic.S create mode 100644 sim/testsuite/sim/bfin/dbg_tr_simplejp.S create mode 100644 sim/testsuite/sim/bfin/dbg_tr_tbuf0.S create mode 100644 sim/testsuite/sim/bfin/dbg_tr_umode.S create mode 100644 sim/testsuite/sim/bfin/disalnexcpt_implicit.S create mode 100644 sim/testsuite/sim/bfin/div0.s create mode 100644 sim/testsuite/sim/bfin/divq.s create mode 100644 sim/testsuite/sim/bfin/dotproduct.s create mode 100644 sim/testsuite/sim/bfin/dotproduct2.s create mode 100644 sim/testsuite/sim/bfin/double_prec_mult.s create mode 100644 sim/testsuite/sim/bfin/dsp_a4.s create mode 100644 sim/testsuite/sim/bfin/dsp_a7.s create mode 100644 sim/testsuite/sim/bfin/dsp_a8.s create mode 100644 sim/testsuite/sim/bfin/dsp_d0.s create mode 100644 sim/testsuite/sim/bfin/dsp_d1.s create mode 100644 sim/testsuite/sim/bfin/dsp_neg.S create mode 100644 sim/testsuite/sim/bfin/dsp_s1.s create mode 100644 sim/testsuite/sim/bfin/e0.s create mode 100644 sim/testsuite/sim/bfin/edn_snafu.s create mode 100644 sim/testsuite/sim/bfin/eu_dsp32mac_s.s create mode 100644 sim/testsuite/sim/bfin/events.s create mode 100644 sim/testsuite/sim/bfin/f221.s create mode 100644 sim/testsuite/sim/bfin/fact.s create mode 100644 sim/testsuite/sim/bfin/fir.s create mode 100644 sim/testsuite/sim/bfin/fsm.s create mode 100644 sim/testsuite/sim/bfin/greg2.s create mode 100644 sim/testsuite/sim/bfin/hwloop-bits.S create mode 100644 sim/testsuite/sim/bfin/hwloop-branch-in.s create mode 100644 sim/testsuite/sim/bfin/hwloop-branch-out.s create mode 100644 sim/testsuite/sim/bfin/hwloop-lt-bits.s create mode 100644 sim/testsuite/sim/bfin/hwloop-nested.s create mode 100644 sim/testsuite/sim/bfin/i0.s create mode 100644 sim/testsuite/sim/bfin/iir.s create mode 100644 sim/testsuite/sim/bfin/issue103.s create mode 100644 sim/testsuite/sim/bfin/issue109.s create mode 100644 sim/testsuite/sim/bfin/issue112.s create mode 100644 sim/testsuite/sim/bfin/issue113.s create mode 100644 sim/testsuite/sim/bfin/issue117.s create mode 100644 sim/testsuite/sim/bfin/issue118.s create mode 100644 sim/testsuite/sim/bfin/issue119.s create mode 100644 sim/testsuite/sim/bfin/issue121.s create mode 100644 sim/testsuite/sim/bfin/issue123.s create mode 100644 sim/testsuite/sim/bfin/issue124.s create mode 100644 sim/testsuite/sim/bfin/issue125.s create mode 100644 sim/testsuite/sim/bfin/issue126.s create mode 100644 sim/testsuite/sim/bfin/issue127.s create mode 100644 sim/testsuite/sim/bfin/issue129.s create mode 100644 sim/testsuite/sim/bfin/issue139.S create mode 100644 sim/testsuite/sim/bfin/issue140.S create mode 100644 sim/testsuite/sim/bfin/issue142.s create mode 100644 sim/testsuite/sim/bfin/issue144.s create mode 100644 sim/testsuite/sim/bfin/issue146.S create mode 100644 sim/testsuite/sim/bfin/issue175.s create mode 100644 sim/testsuite/sim/bfin/issue205.s create mode 100644 sim/testsuite/sim/bfin/issue257.s create mode 100644 sim/testsuite/sim/bfin/issue272.S create mode 100644 sim/testsuite/sim/bfin/issue83.s create mode 100644 sim/testsuite/sim/bfin/issue89.s create mode 100644 sim/testsuite/sim/bfin/l0.s create mode 100644 sim/testsuite/sim/bfin/l0shift.s create mode 100644 sim/testsuite/sim/bfin/l2_loop.s create mode 100644 sim/testsuite/sim/bfin/link-2.s create mode 100644 sim/testsuite/sim/bfin/link.s create mode 100644 sim/testsuite/sim/bfin/lmu_cplb_multiple0.S create mode 100644 sim/testsuite/sim/bfin/lmu_cplb_multiple1.S create mode 100644 sim/testsuite/sim/bfin/lmu_excpt_align.S create mode 100644 sim/testsuite/sim/bfin/lmu_excpt_default.S create mode 100644 sim/testsuite/sim/bfin/lmu_excpt_illaddr.S create mode 100644 sim/testsuite/sim/bfin/lmu_excpt_prot0.S create mode 100644 sim/testsuite/sim/bfin/lmu_excpt_prot1.S create mode 100644 sim/testsuite/sim/bfin/load.s create mode 100644 sim/testsuite/sim/bfin/logic.s create mode 100644 sim/testsuite/sim/bfin/loop_snafu.s create mode 100644 sim/testsuite/sim/bfin/loop_strncpy.s create mode 100644 sim/testsuite/sim/bfin/lp0.s create mode 100644 sim/testsuite/sim/bfin/lp1.s create mode 100644 sim/testsuite/sim/bfin/lsetup.s create mode 100644 sim/testsuite/sim/bfin/m0boundary.s create mode 100644 sim/testsuite/sim/bfin/m1.S create mode 100644 sim/testsuite/sim/bfin/m10.s create mode 100644 sim/testsuite/sim/bfin/m11.s create mode 100644 sim/testsuite/sim/bfin/m12.s create mode 100644 sim/testsuite/sim/bfin/m13.s create mode 100644 sim/testsuite/sim/bfin/m14.s create mode 100644 sim/testsuite/sim/bfin/m15.s create mode 100644 sim/testsuite/sim/bfin/m16.s create mode 100644 sim/testsuite/sim/bfin/m17.s create mode 100644 sim/testsuite/sim/bfin/m2.s create mode 100644 sim/testsuite/sim/bfin/m3.s create mode 100644 sim/testsuite/sim/bfin/m4.s create mode 100644 sim/testsuite/sim/bfin/m5.s create mode 100644 sim/testsuite/sim/bfin/m6.s create mode 100644 sim/testsuite/sim/bfin/m7.s create mode 100644 sim/testsuite/sim/bfin/m8.s create mode 100644 sim/testsuite/sim/bfin/m9.s create mode 100644 sim/testsuite/sim/bfin/mac2halfreg.S create mode 100644 sim/testsuite/sim/bfin/math.s create mode 100644 sim/testsuite/sim/bfin/max_min_flags.s create mode 100644 sim/testsuite/sim/bfin/mc_s2.s create mode 100644 sim/testsuite/sim/bfin/mdma-32bit-1d-neg-count.c create mode 100644 sim/testsuite/sim/bfin/mdma-32bit-1d.c create mode 100644 sim/testsuite/sim/bfin/mdma-8bit-1d-neg-count.c create mode 100644 sim/testsuite/sim/bfin/mdma-8bit-1d.c create mode 100644 sim/testsuite/sim/bfin/mdma-skel.h create mode 100644 sim/testsuite/sim/bfin/mem3.s create mode 100644 sim/testsuite/sim/bfin/mmr-exception.s create mode 100644 sim/testsuite/sim/bfin/move.s create mode 100644 sim/testsuite/sim/bfin/msa_acp_5.10.S create mode 100644 sim/testsuite/sim/bfin/msa_acp_5.12_1.S create mode 100644 sim/testsuite/sim/bfin/msa_acp_5.12_2.S create mode 100644 sim/testsuite/sim/bfin/msa_acp_5_10.s create mode 100644 sim/testsuite/sim/bfin/mult.s create mode 100644 sim/testsuite/sim/bfin/neg-2.S create mode 100644 sim/testsuite/sim/bfin/neg-3.S create mode 100644 sim/testsuite/sim/bfin/neg.S create mode 100644 sim/testsuite/sim/bfin/nshift.s create mode 100644 sim/testsuite/sim/bfin/pr.s create mode 100644 sim/testsuite/sim/bfin/push-pop-multiple.s create mode 100644 sim/testsuite/sim/bfin/push-pop.s create mode 100644 sim/testsuite/sim/bfin/pushpopreg_1.s create mode 100644 sim/testsuite/sim/bfin/quadaddsub.s create mode 100644 sim/testsuite/sim/bfin/random_0001.s create mode 100644 sim/testsuite/sim/bfin/random_0002.S create mode 100644 sim/testsuite/sim/bfin/random_0003.S create mode 100644 sim/testsuite/sim/bfin/random_0004.S create mode 100644 sim/testsuite/sim/bfin/random_0005.S create mode 100644 sim/testsuite/sim/bfin/random_0006.S create mode 100644 sim/testsuite/sim/bfin/random_0007.S create mode 100644 sim/testsuite/sim/bfin/random_0008.S create mode 100644 sim/testsuite/sim/bfin/random_0009.S create mode 100644 sim/testsuite/sim/bfin/random_0010.S create mode 100644 sim/testsuite/sim/bfin/random_0011.S create mode 100644 sim/testsuite/sim/bfin/random_0012.S create mode 100644 sim/testsuite/sim/bfin/random_0013.S create mode 100644 sim/testsuite/sim/bfin/random_0031.S create mode 100644 sim/testsuite/sim/bfin/random_0033.S create mode 100644 sim/testsuite/sim/bfin/random_0034.S create mode 100644 sim/testsuite/sim/bfin/run-tests.sh create mode 100644 sim/testsuite/sim/bfin/s0.s create mode 100644 sim/testsuite/sim/bfin/s1.s create mode 100644 sim/testsuite/sim/bfin/s10.s create mode 100644 sim/testsuite/sim/bfin/s11.s create mode 100644 sim/testsuite/sim/bfin/s12.s create mode 100644 sim/testsuite/sim/bfin/s13.s create mode 100644 sim/testsuite/sim/bfin/s14.s create mode 100644 sim/testsuite/sim/bfin/s15.s create mode 100644 sim/testsuite/sim/bfin/s16.s create mode 100644 sim/testsuite/sim/bfin/s17.s create mode 100644 sim/testsuite/sim/bfin/s18.s create mode 100644 sim/testsuite/sim/bfin/s19.s create mode 100644 sim/testsuite/sim/bfin/s2.s create mode 100644 sim/testsuite/sim/bfin/s20.s create mode 100644 sim/testsuite/sim/bfin/s21.s create mode 100644 sim/testsuite/sim/bfin/s3.s create mode 100644 sim/testsuite/sim/bfin/s30.s create mode 100644 sim/testsuite/sim/bfin/s4.s create mode 100644 sim/testsuite/sim/bfin/s5.s create mode 100644 sim/testsuite/sim/bfin/s6.s create mode 100644 sim/testsuite/sim/bfin/s7.s create mode 100644 sim/testsuite/sim/bfin/s8.s create mode 100644 sim/testsuite/sim/bfin/s9.s create mode 100644 sim/testsuite/sim/bfin/saatest.s create mode 100644 sim/testsuite/sim/bfin/se_all16bitopcodes.S create mode 100644 sim/testsuite/sim/bfin/se_all32bitopcodes.S create mode 100644 sim/testsuite/sim/bfin/se_all32bitopcodes.lds create mode 100644 sim/testsuite/sim/bfin/se_brtarget_stall.S create mode 100644 sim/testsuite/sim/bfin/se_bug_ui.S create mode 100644 sim/testsuite/sim/bfin/se_bug_ui2.S create mode 100644 sim/testsuite/sim/bfin/se_bug_ui3.S create mode 100644 sim/testsuite/sim/bfin/se_cc2stat_haz.S create mode 100644 sim/testsuite/sim/bfin/se_cc_kill.S create mode 100644 sim/testsuite/sim/bfin/se_cof.S create mode 100644 sim/testsuite/sim/bfin/se_event_quad.S create mode 100644 sim/testsuite/sim/bfin/se_excpt_dagprotviol.S create mode 100644 sim/testsuite/sim/bfin/se_excpt_ifprotviol.S create mode 100644 sim/testsuite/sim/bfin/se_excpt_ssstep.S create mode 100644 sim/testsuite/sim/bfin/se_illegalcombination.S create mode 100644 sim/testsuite/sim/bfin/se_kill_wbbr.S create mode 100644 sim/testsuite/sim/bfin/se_kills2.S create mode 100644 sim/testsuite/sim/bfin/se_loop_disable.S create mode 100644 sim/testsuite/sim/bfin/se_loop_kill.S create mode 100644 sim/testsuite/sim/bfin/se_loop_kill_01.S create mode 100644 sim/testsuite/sim/bfin/se_loop_kill_dcr.S create mode 100644 sim/testsuite/sim/bfin/se_loop_kill_dcr_01.S create mode 100644 sim/testsuite/sim/bfin/se_loop_lr.S create mode 100644 sim/testsuite/sim/bfin/se_loop_mv2lb_stall.S create mode 100644 sim/testsuite/sim/bfin/se_loop_mv2lc.S create mode 100644 sim/testsuite/sim/bfin/se_loop_mv2lc_stall.S create mode 100644 sim/testsuite/sim/bfin/se_loop_mv2lt_stall.S create mode 100644 sim/testsuite/sim/bfin/se_loop_nest_ppm.S create mode 100644 sim/testsuite/sim/bfin/se_loop_nest_ppm_1.S create mode 100644 sim/testsuite/sim/bfin/se_loop_nest_ppm_2.S create mode 100644 sim/testsuite/sim/bfin/se_loop_ppm.S create mode 100644 sim/testsuite/sim/bfin/se_loop_ppm_1.S create mode 100644 sim/testsuite/sim/bfin/se_loop_ppm_int.S create mode 100644 sim/testsuite/sim/bfin/se_lsetup_kill.S create mode 100644 sim/testsuite/sim/bfin/se_misaligned_fetch.S create mode 100644 sim/testsuite/sim/bfin/se_more_ret_haz.S create mode 100644 sim/testsuite/sim/bfin/se_mv2lp.S create mode 100644 sim/testsuite/sim/bfin/se_oneins_zoff.S create mode 100644 sim/testsuite/sim/bfin/se_popkill.S create mode 100644 sim/testsuite/sim/bfin/se_regmv_usp_sysreg.S create mode 100644 sim/testsuite/sim/bfin/se_rets_hazard.s create mode 100644 sim/testsuite/sim/bfin/se_rts_rti.S create mode 100644 sim/testsuite/sim/bfin/se_ssstep_dagprotviol.S create mode 100644 sim/testsuite/sim/bfin/se_ssync.S create mode 100644 sim/testsuite/sim/bfin/se_stall_if2.S create mode 100644 sim/testsuite/sim/bfin/se_undefinedinstruction1.S create mode 100644 sim/testsuite/sim/bfin/se_undefinedinstruction2.S create mode 100644 sim/testsuite/sim/bfin/se_undefinedinstruction3.S create mode 100644 sim/testsuite/sim/bfin/se_undefinedinstruction4.S create mode 100644 sim/testsuite/sim/bfin/se_usermode_protviol.S create mode 100644 sim/testsuite/sim/bfin/seqstat.s create mode 100644 sim/testsuite/sim/bfin/sign.s create mode 100644 sim/testsuite/sim/bfin/simple0.s create mode 100644 sim/testsuite/sim/bfin/sri.s create mode 100644 sim/testsuite/sim/bfin/stk.s create mode 100644 sim/testsuite/sim/bfin/stk2.s create mode 100644 sim/testsuite/sim/bfin/stk3.s create mode 100644 sim/testsuite/sim/bfin/stk4.s create mode 100644 sim/testsuite/sim/bfin/stk5.s create mode 100644 sim/testsuite/sim/bfin/stk6.s create mode 100644 sim/testsuite/sim/bfin/syscfg.s create mode 100644 sim/testsuite/sim/bfin/tar10622.s create mode 100644 sim/testsuite/sim/bfin/test-dma.h create mode 100644 sim/testsuite/sim/bfin/test.h create mode 100644 sim/testsuite/sim/bfin/testset.s create mode 100644 sim/testsuite/sim/bfin/testset2.s create mode 100644 sim/testsuite/sim/bfin/testutils.inc create mode 100644 sim/testsuite/sim/bfin/unlink.S create mode 100644 sim/testsuite/sim/bfin/up0.s create mode 100644 sim/testsuite/sim/bfin/usp.S create mode 100644 sim/testsuite/sim/bfin/vec-abs-2.S create mode 100644 sim/testsuite/sim/bfin/vec-abs-3.S create mode 100644 sim/testsuite/sim/bfin/vec-abs.S create mode 100644 sim/testsuite/sim/bfin/vec-neg-2.S create mode 100644 sim/testsuite/sim/bfin/vec-neg-3.S create mode 100644 sim/testsuite/sim/bfin/vec-neg.S create mode 100644 sim/testsuite/sim/bfin/vecadd.s create mode 100644 sim/testsuite/sim/bfin/vit_max.s create mode 100644 sim/testsuite/sim/bfin/viterbi2.s create mode 100644 sim/testsuite/sim/bfin/wtf.s create mode 100644 sim/testsuite/sim/bfin/x1.s create mode 100644 sim/testsuite/sim/bfin/zcall.s create mode 100644 sim/testsuite/sim/bfin/zeroflagrnd.s First 500 lines of diff: diff --git a/ChangeLog b/ChangeLog index 028f718..2693377 100644 --- a/ChangeLog +++ b/ChangeLog @@ -1,3 +1,7 @@ +2011-06-06 Nick Clifton + + * config.sub: Sync from upstream. + 2011-05-08 Doug Kwan Merge from gcc: diff --git a/bfd/ChangeLog b/bfd/ChangeLog index 526dd83..56267c4 100644 --- a/bfd/ChangeLog +++ b/bfd/ChangeLog @@ -1,3 +1,298 @@ +2011-06-07 Joel Brobecker + + * irix-core.c (irix_core_vec): Add match_priority field. + +2011-06-06 Alan Modra + + * targets.c (bfd_target): Make ar_max_namelen an unsigned char. + Add match_priority. + * configure.in: Bump bfd version. + * elfcode.h (elf_object_p): Delete hacks preventing match of + EM_NONE and ELFOSABI_NONE targets when a better match exists. + * elfxx-target.h (elf_match_priority): Define and use. + * format.c (bfd_check_format_matches): Use target match_priority + to choose best of multiple matching targets. In cases with multiple + matches rerun _bfd_check_format if we don't choose the last match. + * aout-adobe.c, * aout-arm.c, * aout-target.h, * aout-tic30.c, + * binary.c, * bout.c, * coff-alpha.c, * coff-i386.c, * coff-i860.c, + * coff-i960.c, * coff-ia64.c, * coff-mips.c, * coff-or32.c, + * coff-ppc.c, * coff-rs6000.c, * coff-sh.c, * coff-tic30.c, + * coff-tic54x.c, * coff-x86_64.c, * coff64-rs6000.c, * coffcode.h, + * i386msdos.c, * i386os9k.c, * ieee.c, * ihex.c, * mach-o-target.c, + * mipsbsd.c, * mmo.c, * nlm-target.h, * oasys.c, * pdp11.c, + * pe-mips.c, * pef.c, * plugin.c, * ppcboot.c, * som.c, * srec.c, + * tekhex.c, * trad-core.c, * verilog.c, * versados.c, * vms-alpha.c, + * vms-lib.c, * xsym.c: Init match_priority field. + * configure: Regenerate. + * bfd-in2.h: Regenerate. + +2011-06-04 H.J. Lu + + PR ld/12842 + * elfcode.h (elf_object_p): Revert the last change. + +2011-06-04 Alan Modra + + * archures.c (bfd_arch_get_compatible): If one arch is unknown, + return the other arch. + * elfcode.h (elf_object_p): Allow explicit match to generic ELF + target. + +2011-06-03 Bertram Felgenhauer + + PR ld/12682 + * hash.c (higher_primer_number): Add more, small, prime numbers. + (bfd_hash_set_default_size): Likewise. + +2011-06-02 Nick Clifton + + * coff-mcore.c: Fix spelling typo. + * coff-stgo32.c: Likewise. + * elf32-arm.c: Likewise. + * elf32-avr.c: Likewise. + * elf-m68hc1x.c: Likewise. + * elf32-mcore.c: Likewise. + * elf32-mep.c: Likewise. + * elf32-mt.c: Likewise. + * elf32-ppc.c: Likewise. + * elf32-xtensa.c: Likewise. + * elf64-ppc.c: Likewise. + * elfxx-mips.c: Likewise. + * netbsd.h: Likewise. + * nlmcode.h: Likewise. + * vms-alpha.c: Likewise. + * po/bfd.pot: Regenerate. + * po/SRC-POTFILES.in: Regenerate. + +2011-06-01 DJ Delorie + + * config.bfd: Add bfd_elf32_rx_be_ns_vec. + * target.c: Likewise. + * configure.in: Likewise. + * configure.in: Regenerate. + * elf32-rx.c: Add elf32-rx-be-ns target. + (rx_elf_object_p): Never allow the be-ns target by default, + only allow it if the user requests it. + +2011-06-01 H.J. Lu + + * elf32-i386.c (elf_i386_size_dynamic_sections): Properly warn + relocation in readonly section in a shared object. + * elf64-x86-64.c (elf_x86_64_size_dynamic_sections): Likewise. + +2011-05-31 Nick Clifton + + * archive.c (adjust_relative_path): Fix comment to prevent it + corrupting the auto-generated bfd.h. + +2011-05-31 Paul Brook + + * elf32-arm.c (elf32_arm_final_link_relocate): Only do bl conversion + for known functions. + (elf32_arm_swap_symbol_in): Only set ST_BRANCH_TO_ARM for function + symbols. + +2011-05-31 Paul Brook + + * elf32-arm.c (arm_stub_is_thumb): Add + arm_stub_long_branch_v4t_thumb_tls_pic. + (elf32_arm_final_link_relocate): TLS stubs are always ARM. + Handle Thumb stubs. + +2011-05-27 Nick Clifton + + PR binutils/12710 + * archive.c (_bfd_get_elt_at_filepos): Set correct error value if + unable to read a file pointed to by an entry in a thin archive. + (adjust_relative_path): Use lrealpath to canonicalize paths. + Handle the case where the reference path is above the current + path in the directory tree. + +2011-05-26 H.J. Lu + + PR ld/12809 + * elf64-x86-64.c (elf_x86_64_relocate_section): Handle + R_X86_64_TPOFF64 in executable. + +2011-05-26 Alan Modra + + * elf-bfd.h (SYMBOL_REFERENCES_LOCAL): Remove most of comment. + * elflink.c (_bfd_elf_symbol_refs_local_p): Expand + local_protected comment. + +2011-05-25 Tristan Gingold + + * configure.in (bfd_elf32_ia64_big_vec, bfd_elf32_ia64_hpux_big_vec) + (bfd_elf64_ia64_big_vec, bfd_elf64_ia64_hpux_big_vec) + (bfd_elf64_ia64_little_vec, bfd_elf64_ia64_vms_vec): Add elfxx-ia64.lo + * Makefile.am (BFD64_BACKENDS): Add elfxx-ia64.lo + (BFD64_BACKENDS_CFILES): Add elfxx-ia64.c + (elf32-ia64.c): Created from elfnn-ia64.c + (elf64-ia64.c): Likewise. + * elfxx-ia64.h: New file. + * elfxx-ia64.c: Split with elfnn-ia64.c. Keep only the following + functions.Includes elfxx-ia64.h. + (elfNN_ia64_reloc): Renames to ia64_elf_reloc. Adjust error message. + (IA64_HOWTO): Adjust. + (lookup_howto): Renames to ia64_elf_lookup_howto. Make it public. + (elfNN_ia64_reloc_type_lookup): Renames to + ia64_elf_reloc_type_lookup. Make it public. Adjust calls. + (elfNN_ia64_reloc_name_lookup): Renames to + ia64_elf_reloc_name_lookup. Make it public. + (elfNN_ia64_relax_br): Renames to ia64_elf_relax_br. Make it public. + (elfNN_ia64_relax_brl): Renames to ia64_elf_relax_brl. Make it + public. + (elfNN_ia64_relax_ldxmov): Renames to ia64_elf_relax_ldxmov. + Move it and make it public. Move prototype to elfxx-ia64.h + (elfNN_ia64_install_value): Renames to ia64_elf_install_value. + Move prototype to elfxx-ia64.h + * elfnn-ia64.c: New file, split from elfxx-ia64.c. + (elfNN_ia64_info_to_howto): Adjust calls. + (elfNN_ia64_relax_section): Adjust calls. + (count_dyn_reloc): Fix typo. + (elfNN_ia64_relocate_section): Adjust calls. + (elfNN_ia64_finish_dynamic_symbol): Likewise. + (bfd_elfNN_bfd_reloc_type_lookup) + (bfd_elfNN_bfd_reloc_name_lookup): Adjust macros. + * configure: Regenerate. + * Makefile.in: Regenerate. + +2011-05-23 DJ Delorie + + * elf32-rx.c (rx_elf_object_p): When reading an RX object in, undo + the vma/lma swapping done in elf32_rx_modify_program_headers. + +2011-05-23 Nick Clifton + + * elf-m10300.c (mn10300_elf_mkobject): New function. + (bfd_elf32_mkobject): Define. + +2011-05-23 Alan Modra + + * elf-bfd.h: Comment typo fix. + * elf32-ppc.c (struct ppc_elf_dyn_relocs): Delete. Replace with + struct elf_dyn_relocs throughout. + * elf64-ppc.c (struct ppc_dyn_relocs): Likewise. + +2011-05-23 Alan Modra + + * elf32-frv.c: Use info->callbacks->einfo throughout file in linker + functions rather than warning callback or _bfd_error_handler. + * elf32-ppc.c: Likewise. + * elf64-ppc.c: Likewise. + * elf32-ppc.c (ppc_elf_tls_optimize): Use %H in __tls_get_addr lost + arg error. + * elf64-ppc.c (ppc64_elf_tls_optimize): Likewise. + +2011-05-23 Alan Modra + + PR 12763 + * elf.c (assign_file_positions_for_load_sections): Set sh_offset for + .tbss, and page align same for all SHT_NOBITS sections. + +2011-05-21 Alan Modra + + PR 12763 + * elf.c (_bfd_elf_make_section_from_shdr): Set up TLS section LMAs + from PT_TLS header. + (_bfd_elf_map_sections_to_segments): Don't create a final PT_LOAD + segment if just for .tbss. + (assign_file_positions_for_load_sections): Don't report "can't + allocate in segment" errors for .tbss. + (assign_file_positions_for_non_load_sections): Don't set p_filesz + from SHT_NOBITS section filepos. + +2011-05-20 Bernd Schmidt + + * elf32-tic6x.c (elf32_tic6x_howto_table): Add entries for + R_C6000_PCR_H16 and R_C6000_PCR_L16. + (elf32_tic6x_relocate_section): Handle them. + +2011-05-18 Nick Clifton + + PR ld/12761 + * elflink.c (elf_link_add_object_symbols): Process .gnu.warning + sections when building shared libraries. + +2011-05-18 Rafał Krypa + + PR ld/12778 + * elf32-arm.c (elf32_arm_gc_sweep_hook): Use the computed dynamic + reloc pointer. + +2011-05-18 Tristan Gingold + + * xcofflink.c (xcoff_link_add_symbols): Handle C_DWARF symbols. + (xcoff_sweep): Always keep dwarf sections. + (xcoff_link_input_bfd): Handle dwarf symbols and sections. + +2011-05-18 Tristan Gingold + + * libxcoff.h (struct xcoff_dwsect_name): New type. + (XCOFF_DWSECT_NBR_NAMES): New macro. + (xcoff_dwsect_names): Declare. + * coffcode.h (sec_to_styp_flags): Handle xcoff dwarf sections. + (styp_to_sec_flags): Ditto. + (coff_new_section_hook): Ditto. + (coff_slurp_symbol_table): Handle C_DWARF and C_INFO. + * coff-rs6000.c (xcoff_dwsect_name): New variable. + +2011-05-17 Tomohiro Kashiwada + + PR ld/12759 + * elf32-rx.c (ignore_lma): New variable. + (bfd_elf32_rx_set_target_flags): Add ignore_lma parameter. + (rx_modify_program_headers): Only copy the LMA into the VMA if + ignore_lma is true. + +2011-05-17 Alan Modra + + PR ld/12760 + * coff-aux.c (coff_m68k_aux_link_add_one_symbol): Adjust "notice" call. + * elflink.c (elf_link_add_object_symbols): Likewise. + * linker.c (_bfd_generic_link_add_one_symbol): Likewise. + +2011-05-16 Alan Modra + + * linker.c (_bfd_generic_link_add_one_symbol): Don't init u.undef.weak. + +2011-05-15 Richard Sandiford + + * elfxx-mips.c (_bfd_mips_elf_check_relocs): Record both local and + global GOT entries for GOT_PAGE relocations against global symbols. + +2011-05-13 Bernd Schmidt + + * config.bfd (tic6x-*-elf, tic6x-*-uclinux): New. + (tic6x-*-*): Replaced by these. + * elf32-tic6x.c (elf32_tic6x_set_osabi): New static function. + (elf32_tic6x_check_relocs): Create dynamic sections if -shared. + (elf_backend_relocs_compatible, elf_backend_post_process_headers): + Define. + (elf32_bed, TARGET_LITTLE_SYM, TARGET_LITTLE_NAME, TARGET_BIG_SYM, + TARGET_BIG_NAME, ELF_OSABI): Redefine twice, and include + "elf32-target.h" two more times. + * configure.in: Handle bfd_elf32_tic6x_linux_be_vec, + bfd_elf32_tic6x_linux_le_vec, bfd_elf32_tic6x_elf_be_vec and + bfd_elf32_tic6x_elf_le_vec. + * configure: Regenerate. + +2011-05-13 Jan Beulich + + * config.bfd: Add targets x86_64-*-pe and x86_64-*-pep. + +2011-05-12 Jan Kratochvil + + * config.in: Regenerated. + * configure: Regenerated. + * configure.in: New tests for HAVE_PRPSINFO_T_PR_PID, + HAVE_PRPSINFO32_T_PR_PID, HAVE_PSINFO_T_PR_PID and + HAVE_PSINFO32_T_PR_PID. + * elf.c (elfcore_grok_psinfo): Protect reading psinfo.pr_pid by + HAVE_PRPSINFO_T_PR_PID, HAVE_PRPSINFO32_T_PR_PID, HAVE_PSINFO_T_PR_PID + and HAVE_PSINFO32_T_PR_PID. + * hosts/x86-64linux.h (HAVE_PRPSINFO32_T_PR_PID): New redefinition. + 2011-05-10 Jan Kratochvil * elf.c (elfcore_grok_psinfo): Initialize CORE_PID for both native and @@ -50,7 +345,7 @@ * elf.c (_bfd_elf_section_offset): Check SEC_ELF_REVERSE_COPY. * elflink.c (elf_link_input_bfd): Reverse copy .ctors/.dtors - sections if needed. + sections if needed. * section.c (SEC_ELF_REVERSE_COPY): New. * bfd-in2.h: Regenerated. diff --git a/bfd/Makefile.am b/bfd/Makefile.am index c27c16d..98772f0 100644 --- a/bfd/Makefile.am +++ b/bfd/Makefile.am @@ -605,6 +605,7 @@ BFD64_BACKENDS = \ elf64-x86-64.lo \ elf64.lo \ elfn32-mips.lo \ + elfxx-ia64.lo \ mach-o-x86-64.lo \ mmo.lo \ nlm32-alpha.lo \ @@ -637,6 +638,7 @@ BFD64_BACKENDS_CFILES = \ elf64-x86-64.c \ elf64.c \ elfn32-mips.c \ + elfxx-ia64.c \ mach-o-x86-64.c \ mmo.c \ nlm32-alpha.c \ @@ -845,14 +847,14 @@ elf64-target.h : elfxx-target.h sed -e s/NN/64/g < $(srcdir)/elfxx-target.h > elf64-target.new mv -f elf64-target.new elf64-target.h -elf32-ia64.c : elfxx-ia64.c +elf32-ia64.c : elfnn-ia64.c rm -f elf32-ia64.c - sed -e s/NN/32/g < $(srcdir)/elfxx-ia64.c > elf32-ia64.new + sed -e s/NN/32/g < $(srcdir)/elfnn-ia64.c > elf32-ia64.new mv -f elf32-ia64.new elf32-ia64.c -elf64-ia64.c : elfxx-ia64.c +elf64-ia64.c : elfnn-ia64.c rm -f elf64-ia64.c - sed -e s/NN/64/g < $(srcdir)/elfxx-ia64.c > elf64-ia64.new + sed -e s/NN/64/g < $(srcdir)/elfnn-ia64.c > elf64-ia64.new mv -f elf64-ia64.new elf64-ia64.c peigen.c : peXXigen.c diff --git a/bfd/Makefile.in b/bfd/Makefile.in index 80b08af..eeb96d5 100644 --- a/bfd/Makefile.in +++ b/bfd/Makefile.in @@ -906,6 +906,7 @@ BFD64_BACKENDS = \ elf64-x86-64.lo \ elf64.lo \ elfn32-mips.lo \ + elfxx-ia64.lo \ mach-o-x86-64.lo \ mmo.lo \ nlm32-alpha.lo \ @@ -938,6 +939,7 @@ BFD64_BACKENDS_CFILES = \ elf64-x86-64.c \ elf64.c \ elfn32-mips.c \ + elfxx-ia64.c \ mach-o-x86-64.c \ mmo.c \ nlm32-alpha.c \ @@ -1389,6 +1391,7 @@ distclean-compile: @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elf64.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elflink.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elfn32-mips.Plo@am__quote@ +@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elfxx-ia64.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elfxx-mips.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elfxx-sparc.Plo@am__quote@ @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/epoc-pe-arm.Plo@am__quote@ @@ -1895,14 +1898,14 @@ elf64-target.h : elfxx-target.h sed -e s/NN/64/g < $(srcdir)/elfxx-target.h > elf64-target.new mv -f elf64-target.new elf64-target.h -elf32-ia64.c : elfxx-ia64.c +elf32-ia64.c : elfnn-ia64.c rm -f elf32-ia64.c - sed -e s/NN/32/g < $(srcdir)/elfxx-ia64.c > elf32-ia64.new + sed -e s/NN/32/g < $(srcdir)/elfnn-ia64.c > elf32-ia64.new mv -f elf32-ia64.new elf32-ia64.c -elf64-ia64.c : elfxx-ia64.c +elf64-ia64.c : elfnn-ia64.c rm -f elf64-ia64.c - sed -e s/NN/64/g < $(srcdir)/elfxx-ia64.c > elf64-ia64.new + sed -e s/NN/64/g < $(srcdir)/elfnn-ia64.c > elf64-ia64.new mv -f elf64-ia64.new elf64-ia64.c peigen.c : peXXigen.c diff --git a/bfd/aout-adobe.c b/bfd/aout-adobe.c index ca0e003..bd38ea8 100644 --- a/bfd/aout-adobe.c +++ b/bfd/aout-adobe.c @@ -1,6 +1,6 @@ /* BFD back-end for a.out.adobe binaries. Copyright 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, - 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2009 + 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2009, 2011 Free Software Foundation, Inc. Written by Cygnus Support. Based on bout.c. @@ -487,6 +487,7 @@ const bfd_target a_out_adobe_vec = '_', /* Symbol leading char. */ ' ', /* AR_pad_char. */ 16, /* AR_max_namelen. */ + 0, /* match priority. */ bfd_getb64, bfd_getb_signed_64, bfd_putb64, bfd_getb32, bfd_getb_signed_32, bfd_putb32, diff --git a/bfd/aout-arm.c b/bfd/aout-arm.c index 9a5d155..fd93603 100644 --- a/bfd/aout-arm.c +++ b/bfd/aout-arm.c @@ -1,6 +1,6 @@ /* BFD back-end for raw ARM a.out binaries. Copyright 1994, 1995, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2005, - 2007, 2009, 2010 Free Software Foundation, Inc. + 2007, 2009, 2010, 2011 Free Software Foundation, Inc. Contributed by Richard Earnshaw (rwe@pegasus.esprit.ec.org) This file is part of BFD, the Binary File Descriptor library. @@ -477,6 +477,7 @@ const bfd_target aout_arm_little_vec = MY_symbol_leading_char, AR_PAD_CHAR, /* AR_pad_char. */ 15, /* AR_max_namelen. */ + 0, /* match priority. */ bfd_getl64, bfd_getl_signed_64, bfd_putl64, bfd_getl32, bfd_getl_signed_32, bfd_putl32, bfd_getl16, bfd_getl_signed_16, bfd_putl16, /* Data. */ @@ -516,8 +517,9 @@ const bfd_target aout_arm_big_vec = HAS_SYMS | HAS_LOCALS | DYNAMIC | WP_TEXT | D_PAGED), (SEC_HAS_CONTENTS | SEC_ALLOC | SEC_LOAD | SEC_RELOC | SEC_CODE | SEC_DATA), MY_symbol_leading_char, - AR_PAD_CHAR, /* AR_pad_char. */ - 15, /* AR_max_namelen. */ + AR_PAD_CHAR, /* AR_pad_char. */ + 15, /* AR_max_namelen. */ + 0, /* match priority. */ bfd_getb64, bfd_getb_signed_64, bfd_putb64, bfd_getb32, bfd_getb_signed_32, bfd_putb32, bfd_getb16, bfd_getb_signed_16, bfd_putb16, /* Data. */ diff --git a/bfd/aout-target.h b/bfd/aout-target.h index 5162494..5c0d2ae 100644 --- a/bfd/aout-target.h +++ b/bfd/aout-target.h @@ -1,6 +1,6 @@ /* Define a target vector and some small routines for a variant of a.out. Copyright 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, - 2000, 2001, 2002, 2003, 2004, 2005, 2007, 2009, 2010 + 2000, 2001, 2002, 2003, 2004, 2005, 2007, 2009, 2010, 2011 Free Software Foundation, Inc. This file is part of BFD, the Binary File Descriptor library. @@ -627,6 +627,7 @@ const bfd_target MY (vec) = MY_symbol_leading_char, AR_PAD_CHAR, /* AR_pad_char. */ 15, /* AR_max_namelen. */ + 0, /* match priority. */ #ifdef TARGET_IS_BIG_ENDIAN_P bfd_getb64, bfd_getb_signed_64, bfd_putb64, bfd_getb32, bfd_getb_signed_32, bfd_putb32, diff --git a/bfd/aout-tic30.c b/bfd/aout-tic30.c index 5d5c7e2..30900ba 100644 --- a/bfd/aout-tic30.c +++ b/bfd/aout-tic30.c @@ -1,6 +1,6 @@ /* BFD back-end for TMS320C30 a.out binaries. Copyright 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2007, 2009, - 2010 + 2010, 2011 Free Software Foundation, Inc. Contributed by Steven Haworth (steve@pm.cse.rmit.edu.au) @@ -1080,6 +1080,7 @@ const bfd_target tic30_aout_vec = MY_symbol_leading_char, AR_PAD_CHAR, /* AR_pad_char. */ 15, /* AR_max_namelen. */ + 0, /* match priority. */ bfd_getb64, bfd_getb_signed_64, bfd_putb64, hooks/post-receive -- Repository for Project Archer.