public inbox for binutils@sourceware.org
 help / color / mirror / Atom feed
* [PATCH v2 0/8] x86: a few more optimizations
@ 2024-06-21 12:47 Jan Beulich
  2024-06-21 12:49 ` [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates Jan Beulich
                   ` (7 more replies)
  0 siblings, 8 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:47 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

APX {nf} insn forms present a number of interesting optimization
opportunities, often mostly for size. There are a few more that I'm
aware of, but where I'm less convinced that input code would really
ever be written in a way triggering the (hypothetical) code.

Two non-{nf} ones and a change limiting when a certain optimization
is done are here in addition, as I came to think of them while doing
the preparations / work.

1: optimize {nf} forms of ADD/SUB with specific immediates
2: optimize {nf}-form rotate-by-width-less-1
3: optimize certain {nf}-form insns to LEA
4: restrict by-imm31 optimization
5: extend TEST-by-imm7 optimization to CTESTcc
6: optimize {nf}-form IMUL-by-power-of-2 to SHL
7: optimize certain {nf}-form insns to BMI2 ones
8: apply NDD-to-legacy transformation to further CMOVcc forms

Jan

^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
@ 2024-06-21 12:49 ` Jan Beulich
  2024-06-28  8:28   ` Cui, Lili
  2024-06-21 12:49 ` [PATCH v2 2/8] x86/APX: optimize {nf}-form rotate-by-width-less-1 Jan Beulich
                   ` (6 subsequent siblings)
  7 siblings, 1 reply; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:49 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

Unlike for the legacy forms, where there's a difference in the resulting
EFLAGS, for the NF variants we can safely replace ones using 0x80 by the
respectively other insn while negating the immediate, saving 3 immediate
bytes (just 1 though for 16-bit operand size). Similarly we can replace
ones using 1 / -1 by INC/DEC (eliminating the immediate).
---
v2: Also convert immediates of $1 / $-1 to INC/DEC. Move logic to
    separate function.

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -5327,6 +5327,84 @@ optimize_encoding (void)
     }
 }
 
+/* Try to shorten {nf} encodings, by shortening operand size or switching to
+   functionally identical encodings.  */
+
+static void
+optimize_nf_encoding (void)
+{
+  if (i.tm.base_opcode == 0x80
+      && (i.tm.extension_opcode == 0 || i.tm.extension_opcode == 5)
+      && i.suffix != BYTE_MNEM_SUFFIX
+      && !i.types[1].bitfield.byte
+      && !i.types[2].bitfield.byte
+      && i.op[0].imms->X_op == O_constant
+      && i.op[0].imms->X_add_number == 0x80)
+    {
+      /* Optimize: -O:
+	   {nf} addw $0x80, ...  -> {nf} subw $-0x80, ...
+	   {nf} addl $0x80, ...  -> {nf} subl $-0x80, ...
+	   {nf} addq $0x80, ...  -> {nf} subq $-0x80, ...
+
+	   {nf} subw $0x80, ...  -> {nf} addw $-0x80, ...
+	   {nf} subl $0x80, ...  -> {nf} addl $-0x80, ...
+	   {nf} subq $0x80, ...  -> {nf} addq $-0x80, ...
+       */
+      i.tm.base_opcode |= 3;
+      i.tm.extension_opcode ^= 5;
+      i.tm.opcode_modifier.w = 0;
+      i.op[0].imms->X_add_number = -i.op[0].imms->X_add_number;
+
+      i.tm.operand_types[0].bitfield.imm8 = 0;
+      i.tm.operand_types[0].bitfield.imm8s = 1;
+      i.tm.operand_types[0].bitfield.imm16 = 0;
+      i.tm.operand_types[0].bitfield.imm32 = 0;
+      i.tm.operand_types[0].bitfield.imm32s = 0;
+
+      i.types[0] = i.tm.operand_types[0];
+    }
+  else if ((i.tm.base_opcode | 3) == 0x83
+      && (i.tm.extension_opcode == 0 || i.tm.extension_opcode == 5)
+      && i.op[0].imms->X_op == O_constant
+      && (i.op[0].imms->X_add_number == 1
+	  || i.op[0].imms->X_add_number == -1
+	  /* While for wider than byte operations immediates were suitably
+	     adjusted earlier on, 0xff in the byte case needs covering
+	     explicitly.  */
+	  || (i.op[0].imms->X_add_number == 0xff
+	      && (i.suffix == BYTE_MNEM_SUFFIX
+		  || i.types[i.operands - 1].bitfield.byte))))
+    {
+      /* Optimize: -O:
+	   {nf} add $1, ...        -> {nf} inc ...
+	   {nf} add $-1, ...       -> {nf} dec ...
+	   {nf} add $0xf...f, ...  -> {nf} dec ...
+
+	   {nf} sub $1, ...        -> {nf} dec ...
+	   {nf} sub $-1, ...       -> {nf} inc ...
+	   {nf} sub $0xf...f, ...  -> {nf} inc ...
+       */
+      i.tm.base_opcode = 0xfe;
+      i.tm.extension_opcode
+	= (i.op[0].imms->X_add_number == 1) != (i.tm.extension_opcode == 0);
+      i.tm.opcode_modifier.w = 1;
+
+      i.types[0] = i.types[1];
+      i.types[1] = i.types[2];
+      i.tm.operand_types[0] = i.tm.operand_types[1];
+      i.tm.operand_types[1] = i.tm.operand_types[2];
+      i.op[0] = i.op[1];
+      i.op[1] = i.op[2];
+      i.flags[0] = i.flags[1];
+      i.flags[1] = i.flags[2];
+      i.reloc[0] = i.reloc[1];
+      i.reloc[1] = NO_RELOC;
+
+      i.imm_operands = 0;
+      --i.operands;
+    }
+}
+
 static void
 s_noopt (int dummy ATTRIBUTE_UNUSED)
 {
@@ -7206,7 +7284,11 @@ md_assemble (char *line)
     }
 
   if (optimize && !i.no_optimize && i.tm.opcode_modifier.optimize)
-    optimize_encoding ();
+    {
+      if (i.has_nf)
+	optimize_nf_encoding ();
+      optimize_encoding ();
+    }
 
   /* Past optimization there's no need to distinguish encoding_evex,
      encoding_evex512, and encoding_egpr anymore.  */
--- a/gas/testsuite/gas/i386/x86-64.exp
+++ b/gas/testsuite/gas/i386/x86-64.exp
@@ -393,6 +393,7 @@ run_dump_test "x86-64-apx-jmpabs-intel"
 run_dump_test "x86-64-apx-jmpabs-inval"
 run_dump_test "x86-64-apx-nf"
 run_dump_test "x86-64-apx-nf-intel"
+run_dump_test "x86-64-apx-nf-optimize"
 run_dump_test "x86-64-apx-zu"
 run_dump_test "x86-64-apx-zu-intel"
 run_list_test "x86-64-apx-zu-inval"
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.d
@@ -701,6 +701,8 @@ Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 d4 6c 1c 33 8c 80 23 01 00 00\s+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
 \s*[a-f0-9]+:\s*62 54 fc 0c 33 8c 80 23 01 00 00\s+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
 \s*[a-f0-9]+:\s*62 54 84 14 33 8c 80 23 01 00 00\s+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <intel>:
 \s*[a-f0-9]+:\s*62 f4 7c 0c 80 c3 7b\s+\{nf\} add\s+\$0x7b,%bl
 \s*[a-f0-9]+:\s*62 f4 6c 1c 80 c3 7b\s+\{nf\} add\s+\$0x7b,%bl,%dl
 \s*[a-f0-9]+:\s*62 f4 7d 0c 83 c2 7b\s+\{nf\} add\s+\$0x7b,%dx
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.s
@@ -697,7 +697,8 @@ _start:
 	{nf}	xor	291(%r8, %rax, 4), %r9
 	{nf}	xor	291(%r8, %rax, 4), %r9, %r31
 
-.intel_syntax noprefix
+	.intel_syntax noprefix
+intel:
 	{nf}	add	bl, 123
 	{nf}	add	dl, bl, 123
 	{nf}	add	dx, 123
@@ -1377,3 +1378,58 @@ _start:
 	{nf}	xor	edx, ecx, DWORD PTR [r8+rax*4+291]
 	{nf}	xor	r9, QWORD PTR [r8+rax*4+291]
 	{nf}	xor	r31, r9, QWORD PTR [r8+rax*4+291]
+
+	.att_syntax prefix
+optimize:
+	.irp op, add, sub
+	{nf}	\op	$128, %bl
+	{nf}	\op	$128, %bl, %dl
+	{nf}	\op	$128, %dx
+	{nf}	\op	$128, %dx, %ax
+	{nf}	\op	$128, %ecx
+	{nf}	\op	$128, %ecx, %edx
+	{nf}	\op	$128, %r9
+	{nf}	\op	$128, %r9, %r31
+	{nf}	\op\()b	$128, (%rax)
+	{nf}	\op	$128, (%rax), %bl
+	{nf}	\op\()w	$128, (%rax)
+	{nf}	\op	$128, (%rax), %dx
+	{nf}	\op\()l	$128, (%rax)
+	{nf}	\op	$128, (%rax), %ecx
+	{nf}	\op\()q	$128, (%rax)
+	{nf}	\op	$128, (%rax), %r9
+
+	{nf}	\op	$1, %bl
+	{nf}	\op	$1, %bl, %dl
+	{nf}	\op	$1, %dx
+	{nf}	\op	$1, %dx, %ax
+	{nf}	\op	$1, %ecx
+	{nf}	\op	$1, %ecx, %edx
+	{nf}	\op	$1, %r9
+	{nf}	\op	$1, %r9, %r31
+	{nf}	\op\()b	$1, (%rax)
+	{nf}	\op	$1, (%rax), %bl
+	{nf}	\op\()w	$1, (%rax)
+	{nf}	\op	$1, (%rax), %dx
+	{nf}	\op\()l	$1, (%rax)
+	{nf}	\op	$1, (%rax), %ecx
+	{nf}	\op\()q	$1, (%rax)
+	{nf}	\op	$1, (%rax), %r9
+
+	{nf}	\op	$0xff, %bl
+	{nf}	\op	$-1, %bl, %dl
+	{nf}	\op	$0xffff, %dx
+	{nf}	\op	$-1, %dx, %ax
+	{nf}	\op	$0xffffffff, %ecx
+	{nf}	\op	$-1, %ecx, %edx
+	{nf}	\op	$-1, %r9
+	{nf}	\op	$-1, %r9, %r31
+	{nf}	\op\()b	$0xff, (%rax)
+	{nf}	\op	$-1, (%rax), %bl
+	{nf}	\op\()w	$0xffff, (%rax)
+	{nf}	\op	$-1, (%rax), %dx
+	{nf}	\op\()l	$0xffffffff, (%rax)
+	{nf}	\op	$-1, (%rax), %ecx
+	{nf}	\op\()q	$-1, (%rax)
+	{nf}	\op	$-1, (%rax), %r9
+	.endr
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-intel.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-intel.d
@@ -701,6 +701,8 @@ Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 d4 6c 1c 33 8c 80 23 01 00 00\s+\{nf\} xor edx,ecx,DWORD PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 54 fc 0c 33 8c 80 23 01 00 00\s+\{nf\} xor r9,QWORD PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 54 84 14 33 8c 80 23 01 00 00\s+\{nf\} xor r31,r9,QWORD PTR \[r8\+rax\*4\+0x123\]
+
+0[0-9a-f]+ <intel>:
 \s*[a-f0-9]+:\s*62 f4 7c 0c 80 c3 7b\s+\{nf\} add bl,0x7b
 \s*[a-f0-9]+:\s*62 f4 6c 1c 80 c3 7b\s+\{nf\} add dl,bl,0x7b
 \s*[a-f0-9]+:\s*62 f4 7d 0c 83 c2 7b\s+\{nf\} add dx,0x7b
--- /dev/null
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
@@ -0,0 +1,1483 @@
+#as: -O
+#objdump: -dw
+#name: x86_64 APX_F insns with nf pseudo prefix and -O
+#source: x86-64-apx-nf.s
+
+.*: +file format .*
+
+Disassembly of section \.text:
+
+0+ <_start>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d0[ 	]+\{nf\} add %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 ca[ 	]+\{nf\} add %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 01 ca[ 	]+\{nf\} add %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 01 cf[ 	]+\{nf\} add %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 01 cf[ 	]+\{nf\} add %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 7b[ 	]+\{nf\} imul \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d1 7b[ 	]+\{nf\} imul \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b f9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b c9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 90[ 	]+\{nf\} imul \$0xff90,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 69 d1 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 f9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 c9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 90[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 f9[ 	]+\{nf\} sar %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 f9[ 	]+\{nf\} sar %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 f9[ 	]+\{nf\} sar %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e9[ 	]+\{nf\} shr %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e9[ 	]+\{nf\} shr %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e9[ 	]+\{nf\} shr %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <intel>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d0[ 	]+\{nf\} add %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 ca[ 	]+\{nf\} add %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 01 ca[ 	]+\{nf\} add %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 01 cf[ 	]+\{nf\} add %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 01 cf[ 	]+\{nf\} add %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 f9[ 	]+\{nf\} sar %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 f9[ 	]+\{nf\} sar %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 f9[ 	]+\{nf\} sar %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e9[ 	]+\{nf\} shr %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e9[ 	]+\{nf\} shr %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e9[ 	]+\{nf\} shr %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <optimize>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 80[ 	]+\{nf\} add \$0x80,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 80[ 	]+\{nf\} add \$0x80,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 80[ 	]+\{nf\} sub \$0xf+80,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 80[ 	]+\{nf\} sub \$0xf+80,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 80[ 	]+\{nf\} sub \$0xf+80,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 80[ 	]+\{nf\} sub \$0xf+80,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 80[ 	]+\{nf\} sub \$0xf+80,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 80[ 	]+\{nf\} sub \$0xf+80,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 00 80[ 	]+\{nf\} addb \$0x80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c 80 00 80[ 	]+\{nf\} add \$0x80,\(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 28 80[ 	]+\{nf\} subw \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c 83 28 80[ 	]+\{nf\} sub \$0xf+80,\(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 28 80[ 	]+\{nf\} subl \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c 83 28 80[ 	]+\{nf\} sub \$0xf+80,\(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 83 28 80[ 	]+\{nf\} subq \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c 83 28 80[ 	]+\{nf\} sub \$0xf+80,\(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 00[ 	]+\{nf\} incb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 00[ 	]+\{nf\} inc \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 00[ 	]+\{nf\} incw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 00[ 	]+\{nf\} inc \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 00[ 	]+\{nf\} incl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 00[ 	]+\{nf\} inc \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 00[ 	]+\{nf\} incq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 00[ 	]+\{nf\} inc \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 08[ 	]+\{nf\} decb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 08[ 	]+\{nf\} dec \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 08[ 	]+\{nf\} decw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 08[ 	]+\{nf\} dec \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 08[ 	]+\{nf\} decl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 08[ 	]+\{nf\} dec \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 08[ 	]+\{nf\} decq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 08[ 	]+\{nf\} dec \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 80[ 	]+\{nf\} sub \$0x80,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 80[ 	]+\{nf\} sub \$0x80,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 80[ 	]+\{nf\} add \$0xf+80,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 80[ 	]+\{nf\} add \$0xf+80,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 80[ 	]+\{nf\} add \$0xf+80,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 80[ 	]+\{nf\} add \$0xf+80,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 80[ 	]+\{nf\} add \$0xf+80,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 80[ 	]+\{nf\} add \$0xf+80,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 28 80[ 	]+\{nf\} subb \$0x80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c 80 28 80[ 	]+\{nf\} sub \$0x80,\(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 00 80[ 	]+\{nf\} addw \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c 83 00 80[ 	]+\{nf\} add \$0xf+80,\(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 00 80[ 	]+\{nf\} addl \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c 83 00 80[ 	]+\{nf\} add \$0xf+80,\(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 83 00 80[ 	]+\{nf\} addq \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c 83 00 80[ 	]+\{nf\} add \$0xf+80,\(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 08[ 	]+\{nf\} decb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 08[ 	]+\{nf\} dec \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 08[ 	]+\{nf\} decw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 08[ 	]+\{nf\} dec \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 08[ 	]+\{nf\} decl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 08[ 	]+\{nf\} dec \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 08[ 	]+\{nf\} decq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 08[ 	]+\{nf\} dec \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 00[ 	]+\{nf\} incb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 00[ 	]+\{nf\} inc \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 00[ 	]+\{nf\} incw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 00[ 	]+\{nf\} inc \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 00[ 	]+\{nf\} incl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 00[ 	]+\{nf\} inc \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 00[ 	]+\{nf\} incq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 00[ 	]+\{nf\} inc \(%rax\),%r9
+#pass
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -312,25 +312,25 @@ sti, 0xfb, 0, NoSuf, {}
 
 // Arithmetic.
 
-<alu2:opc:c:optz:optt:opti:nf, +
-    add:0:C::::NF, +
-    or:1:C::Optimize::NF, +
-    adc:2:C::::, +
-    sbb:3:::::, +
-    and:4:C::Optimize:Optimize:NF, +
-    sub:5::Optimize:::NF, +
-    xor:6:C:Optimize:::NF>
+<alu2:opc:c:optz:optt:opti:optiE:nf, +
+    add:0:C::::Optimize:NF, +
+    or:1:C::Optimize:::NF, +
+    adc:2:C:::::, +
+    sbb:3::::::, +
+    and:4:C::Optimize:Optimize::NF, +
+    sub:5::Optimize:::Optimize:NF, +
+    xor:6:C:Optimize::::NF>
 
 <alu2>, <alu2:opc> << 3, APX_F, D|<alu2:c>|W|CheckOperandSize|Modrm|No_sSuf|DstVVVV|EVexMap4|<alu2:nf>|<alu2:optz>, { Reg8|Reg16|Reg32|Reg64, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <alu2>, <alu2:opc> << 3, 0, D|W|CheckOperandSize|Modrm|No_sSuf|HLEPrefixLock|<alu2:optz>|<alu2:optt>, { Reg8|Reg16|Reg32|Reg64, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <alu2>, <alu2:opc> << 3, APX_F, D|W|CheckOperandSize|Modrm|No_sSuf|EVexMap4|<alu2:nf>, { Reg8|Reg16|Reg32|Reg64, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<alu2>, 0x83/<alu2:opc>, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|DstVVVV|EVexMap4|<alu2:nf>, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
+<alu2>, 0x83/<alu2:opc>, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|DstVVVV|EVexMap4|<alu2:nf>|<alu2:optiE>, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 <alu2>, 0x83/<alu2:opc>, 0, Modrm|No_bSuf|No_sSuf|HLEPrefixLock|<alu2:opti>, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<alu2>, 0x83/<alu2:opc>, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|<alu2:nf>, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<alu2>, 0x83/<alu2:opc>, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|<alu2:nf>|<alu2:optiE>, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <alu2>, 0x04 | (<alu2:opc> << 3), 0, W|No_sSuf|<alu2:opti>, { Imm8|Imm16|Imm32|Imm32S, Acc|Byte|Word|Dword|Qword }
-<alu2>, 0x80/<alu2:opc>, APX_F, W|Modrm|CheckOperandSize|No_sSuf|DstVVVV|EVexMap4|<alu2:nf>, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
+<alu2>, 0x80/<alu2:opc>, APX_F, W|Modrm|CheckOperandSize|No_sSuf|DstVVVV|EVexMap4|<alu2:nf>|<alu2:optiE>, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <alu2>, 0x80/<alu2:opc>, 0, W|Modrm|No_sSuf|HLEPrefixLock|<alu2:opti>, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<alu2>, 0x80/<alu2:opc>, APX_F, W|Modrm|EVexMap4|No_sSuf|<alu2:nf>, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<alu2>, 0x80/<alu2:opc>, APX_F, W|Modrm|EVexMap4|No_sSuf|<alu2:nf>|<alu2:optiE>, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 
 <alu2>
 


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 2/8] x86/APX: optimize {nf}-form rotate-by-width-less-1
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
  2024-06-21 12:49 ` [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates Jan Beulich
@ 2024-06-21 12:49 ` Jan Beulich
  2024-06-21 12:50 ` [PATCH v2 3/8] x86/APX: optimize certain {nf}-form insns to LEA Jan Beulich
                   ` (5 subsequent siblings)
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:49 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

Unlike for the legacy forms, where there's a difference in the resulting
EFLAGS.CF, for the NF variants the immediate can be got rid of in that
case by switching to a 1-bit rotate in the opposite direction.
---
An alternative to the optimize_encoding() adjustment would be to clear
i.tm.opcode_modifier.optimize and re-check that before invoking the
function. Not sure which one's better.
---
v2: Move logic to optimize_nf_encoding().

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -4927,6 +4927,7 @@ optimize_encoding (void)
     }
   else if (!optimize_for_space
 	   && i.tm.base_opcode == 0xd0
+	   && i.tm.extension_opcode == 4
 	   && (i.tm.opcode_space == SPACE_BASE
 	       || i.tm.opcode_space == SPACE_EVEXMAP4)
 	   && !i.mem_operands)
@@ -4942,7 +4943,6 @@ optimize_encoding (void)
 	   shll $1, %rN, %rM  -> addl %rN, %rN, %rM
 	   shlq $1, %rN, %rM  -> addq %rN, %rN, %rM
        */
-      gas_assert (i.tm.extension_opcode == 4);
       i.tm.base_opcode = 0x00;
       i.tm.extension_opcode = None;
       if (i.operands >= 2)
@@ -5403,6 +5403,26 @@ optimize_nf_encoding (void)
       i.imm_operands = 0;
       --i.operands;
     }
+  else if (i.tm.base_opcode == 0xc0
+	   && i.op[0].imms->X_op == O_constant
+	   && i.op[0].imms->X_add_number
+	      == (i.types[i.operands - 1].bitfield.byte
+		  || i.suffix == BYTE_MNEM_SUFFIX
+		  ? 7 : i.types[i.operands - 1].bitfield.word
+			|| i.suffix == WORD_MNEM_SUFFIX
+			? 15 : 63 >> (i.types[i.operands - 1].bitfield.dword
+				      || i.suffix == LONG_MNEM_SUFFIX)))
+    {
+      /* Optimize: -O:
+	   {nf} rol $osz-1, ...   -> {nf} ror $1, ...
+	   {nf} ror $osz-1, ...   -> {nf} rol $1, ...
+       */
+      gas_assert (i.tm.extension_opcode <= 1);
+      i.tm.extension_opcode ^= 1;
+      i.tm.base_opcode = 0xd0;
+      i.tm.operand_types[0].bitfield.imm1 = 1;
+      i.imm_operands = 0;
+    }
 }
 
 static void
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.s
@@ -1433,3 +1433,23 @@ optimize:
 	{nf}	\op\()q	$-1, (%rax)
 	{nf}	\op	$-1, (%rax), %r9
 	.endr
+
+	.irp dir, l, r
+	{nf}	ro\dir	$7, %dl
+	{nf}	ro\dir	$7, %dl, %al
+	{nf}	ro\dir	$15, %dx
+	{nf}	ro\dir	$15, %dx, %ax
+	{nf}	ro\dir	$31, %edx
+	{nf}	ro\dir	$31, %edx, %eax
+	{nf}	ro\dir	$63, %rdx
+	{nf}	ro\dir	$63, %rdx, %rax
+
+	{nf}	ro\dir\()b	$7, (%rdx)
+	{nf}	ro\dir		$7, (%rdx), %al
+	{nf}	ro\dir\()w	$15, (%rdx)
+	{nf}	ro\dir		$15, (%rdx), %ax
+	{nf}	ro\dir\()l	$31, (%rdx)
+	{nf}	ro\dir		$31, (%rdx), %eax
+	{nf}	ro\dir\()q	$63, (%rdx)
+	{nf}	ro\dir		$63, (%rdx), %rax
+	.endr
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
@@ -1480,4 +1480,36 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 00[ 	]+\{nf\} inc \(%rax\),%ecx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 00[ 	]+\{nf\} incq \(%rax\)
 [ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 00[ 	]+\{nf\} inc \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 ca[ 	]+\{nf\} ror \$1,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 ca[ 	]+\{nf\} ror \$1,%dl,%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 ca[ 	]+\{nf\} ror \$1,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 ca[ 	]+\{nf\} ror \$1,%edx,%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 ca[ 	]+\{nf\} ror \$1,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 ca[ 	]+\{nf\} ror \$1,%rdx,%rax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 0a[ 	]+\{nf\} rorb \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 0a[ 	]+\{nf\} rorw \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 0a[ 	]+\{nf\} rorl \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 0a[ 	]+\{nf\} rorq \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%rax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c2[ 	]+\{nf\} rol \$1,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 c2[ 	]+\{nf\} rol \$1,%dl,%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c2[ 	]+\{nf\} rol \$1,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 c2[ 	]+\{nf\} rol \$1,%edx,%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 c2[ 	]+\{nf\} rol \$1,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 c2[ 	]+\{nf\} rol \$1,%rdx,%rax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 02[ 	]+\{nf\} rolb \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 02[ 	]+\{nf\} rol \$1,\(%rdx\),%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 02[ 	]+\{nf\} rolw \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 02[ 	]+\{nf\} roll \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 02[ 	]+\{nf\} rolq \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%rax
 #pass
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -446,22 +446,22 @@ imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sS
 
 <div>
 
-<sr:opc:imm8:opt1:nf, +
-    rol:0:Imm8|Imm8S::NF, +
-    ror:1:Imm8|Imm8S::NF, +
-    rcl:2:Imm8::, +
-    rcr:3:Imm8::, +
-    sal:4:Imm8:Optimize:NF, +
-    shl:4:Imm8:Optimize:NF, +
-    shr:5:Imm8::NF, +
-    sar:7:Imm8::NF>
+<sr:opc:imm8:opt1:opti:nf, +
+    rol:0:Imm8|Imm8S::Optimize:NF, +
+    ror:1:Imm8|Imm8S::Optimize:NF, +
+    rcl:2:Imm8:::, +
+    rcr:3:Imm8:::, +
+    sal:4:Imm8:Optimize::NF, +
+    shl:4:Imm8:Optimize::NF, +
+    shr:5:Imm8:::NF, +
+    sar:7:Imm8:::NF>
 
 <sr>, 0xd0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:opt1>|<sr:nf>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xd0/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:opt1>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xd0/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:opt1>|<sr:nf>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
+<sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:opti>|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xc0/<sr:opc>, i186, W|Modrm|No_sSuf, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:opti>|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xd2/<sr:opc>, 0, W|Modrm|No_sSuf, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 3/8] x86/APX: optimize certain {nf}-form insns to LEA
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
  2024-06-21 12:49 ` [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates Jan Beulich
  2024-06-21 12:49 ` [PATCH v2 2/8] x86/APX: optimize {nf}-form rotate-by-width-less-1 Jan Beulich
@ 2024-06-21 12:50 ` Jan Beulich
  2024-06-21 12:51 ` [PATCH v2 4/8] x86-64: restrict by-imm31 optimization Jan Beulich
                   ` (4 subsequent siblings)
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:50 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

..., as that leaves EFLAGS untouched anyway. That's a shorter encoding,
available as long as certain constraints on operand size and registers
are met; see code comments.

Note that this requires deferring to derive encoding_evex from {nf}
presence, as in optimize_encoding() we want to avoid touching the insns
when {evex} was also used.

Note further that this requires want_disp32() to now also consider the
opcode: We don't want to replace i.tm.mnem_off, for diagnostics to still
report the original mnemonic (or else things can get confusing). While
there, correct adjacent mis-indentation.
---
RFC: The conversion for 16-bit add-with-immediate will, when a symbol is
     in use, convert the resulting relocation from a 16-bit one to a
     32-bit one. While this isn't going to affect the result of the insn
     (will be truncated to 16 bits anyway), it would result in the
     linker noticing overflow in fewer cases. Is this deemed to be a
     problem? (If so, options are to either suppress the optimization in
     that case, or to arrange for the original relocation type to still
     be used. The former is easy but maybe undesirable, while the latter
     might be more involved.)
---
v2: Use the new is_plausible_suffix(), thus also correcting the checks
    (WORD vs SHORT). Move logic to optimize_nf_encoding().

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -1929,6 +1929,7 @@ static INLINE bool need_evex_encoding (c
 {
   return i.encoding == encoding_evex
 	|| i.encoding == encoding_evex512
+	|| i.has_nf
 	|| (t->opcode_modifier.vex && i.encoding == encoding_egpr)
 	|| i.mask.reg;
 }
@@ -3804,9 +3805,10 @@ want_disp32 (const insn_template *t)
 {
   return flag_code != CODE_64BIT
 	 || i.prefix[ADDR_PREFIX]
-	 || (t->mnem_off == MN_lea
+	 || ((t->mnem_off == MN_lea
+	      || (i.tm.base_opcode == 0x8d && i.tm.opcode_space == SPACE_BASE))
 	     && (!i.types[1].bitfield.qword
-		|| t->opcode_modifier.size == SIZE32));
+		 || t->opcode_modifier.size == SIZE32));
 }
 
 static int
@@ -5327,6 +5329,30 @@ optimize_encoding (void)
     }
 }
 
+/* Check whether the promoted (to address size) register is usable as index
+   register in ModR/M SIB addressing.  */
+
+static bool is_index (const reg_entry *r)
+{
+  gas_assert (flag_code == CODE_64BIT);
+
+  if (r->reg_type.bitfield.byte)
+    {
+      if (!(r->reg_flags & RegRex64))
+	{
+	  if (r->reg_num >= 4)
+	    return false;
+	  r += 8;
+	}
+      r += 32;
+    }
+  if (r->reg_type.bitfield.word)
+    r += 32;
+  /* No need to further check .dword here.  */
+
+  return r->reg_type.bitfield.baseindex;
+}
+
 /* Try to shorten {nf} encodings, by shortening operand size or switching to
    functionally identical encodings.  */
 
@@ -5423,6 +5449,203 @@ optimize_nf_encoding (void)
       i.tm.operand_types[0].bitfield.imm1 = 1;
       i.imm_operands = 0;
     }
+
+  if (optimize_for_space
+      && i.encoding != encoding_evex
+      && (i.tm.base_opcode == 0x00
+	  || (i.tm.base_opcode == 0xd0 && i.tm.extension_opcode == 4))
+      && !i.mem_operands
+      && !i.types[1].bitfield.byte
+      /* 16-bit operand size has extra restrictions: If REX2 was needed,
+	 no size reduction would be possible.  Plus 3-operand forms zero-
+	 extend the result, which can't be expressed with LEA.  */
+      && (!i.types[1].bitfield.word
+	  || (i.operands == 2 && i.encoding != encoding_egpr))
+      && is_plausible_suffix (1)
+      /* %rsp can't be the index.  */
+      && (is_index (i.op[1].regs)
+	  || (i.imm_operands == 0 && is_index (i.op[0].regs)))
+      /* While %rbp, %r13, %r21, and %r29 can be made the index in order to
+	 avoid the otherwise necessary Disp8, if the other operand is also
+	 from that set and REX2 would be required to encode the insn, the
+	 resulting encoding would be no smaller than the EVEX one.  */
+      && (i.op[1].regs->reg_num != 5
+	  || i.encoding != encoding_egpr
+	  || i.imm_operands > 0
+	  || i.op[0].regs->reg_num != 5))
+    {
+      /* Optimize: -Os:
+	   {nf} addw %N, %M    -> leaw (%rM,%rN), %M
+	   {nf} addl %eN, %eM  -> leal (%rM,%rN), %eM
+	   {nf} addq %rN, %rM  -> leaq (%rM,%rN), %rM
+
+	   {nf} shlw $1, %N   -> leaw (%rN,%rN), %N
+	   {nf} shll $1, %eN  -> leal (%rN,%rN), %eN
+	   {nf} shlq $1, %rN  -> leaq (%rN,%rN), %rN
+
+	   {nf} addl %eK, %eN, %eM  -> leal (%rN,%rK), %eM
+	   {nf} addq %rK, %rN, %rM  -> leaq (%rN,%rK), %rM
+
+	   {nf} shll $1, %eN, %eM  -> leal (%rN,%rN), %eM
+	   {nf} shlq $1, %rN, %rM  -> leaq (%rN,%rN), %rM
+       */
+      i.tm.opcode_space = SPACE_BASE;
+      i.tm.base_opcode = 0x8d;
+      i.tm.extension_opcode = None;
+      i.tm.opcode_modifier.evex = 0;
+      i.tm.opcode_modifier.vexvvvv = 0;
+      if (i.imm_operands != 0)
+	i.index_reg = i.base_reg = i.op[1].regs;
+      else if (!is_index (i.op[0].regs)
+	       || (i.op[1].regs->reg_num == 5
+		   && i.op[0].regs->reg_num != 5))
+	{
+	  i.base_reg = i.op[0].regs;
+	  i.index_reg = i.op[1].regs;
+	}
+      else
+	{
+	  i.base_reg = i.op[1].regs;
+	  i.index_reg = i.op[0].regs;
+	}
+      if (i.types[1].bitfield.word)
+	{
+	  /* NB: No similar adjustment is needed when operand size is 32-bit.  */
+	  i.base_reg += 64;
+	  i.index_reg += 64;
+	}
+      i.op[1].regs = i.op[i.operands - 1].regs;
+
+      operand_type_set (&i.types[0], 0);
+      i.types[0].bitfield.baseindex = 1;
+      i.tm.operand_types[0] = i.types[0];
+      i.op[0].disps = NULL;
+      i.flags[0] = Operand_Mem;
+
+      i.operands = 2;
+      i.mem_operands = i.reg_operands = 1;
+      i.imm_operands = 0;
+      i.has_nf = false;
+    }
+  else if (optimize_for_space
+	   && i.encoding != encoding_evex
+	   && (i.tm.base_opcode == 0x80 || i.tm.base_opcode == 0x83)
+	   && (i.tm.extension_opcode == 0
+	       || (i.tm.extension_opcode == 5
+		   && i.op[0].imms->X_op == O_constant
+		   /* Subtraction of -0x80 will end up smaller only if neither
+		      operand size nor REX/REX2 prefixes are needed.  */
+		   && (i.op[0].imms->X_add_number != -0x80
+		       || (i.types[1].bitfield.dword
+		           && !(i.op[1].regs->reg_flags & RegRex)
+		           && !(i.op[i.operands - 1].regs->reg_flags & RegRex)
+		           && i.encoding != encoding_egpr))))
+	   && !i.mem_operands
+	   && !i.types[1].bitfield.byte
+	   /* 16-bit operand size has extra restrictions: If REX2 was needed,
+	      no size reduction would be possible.  Plus 3-operand forms zero-
+	      extend the result, which can't be expressed with LEA.  */
+	   && (!i.types[1].bitfield.word
+	       || (i.operands == 2 && i.encoding != encoding_egpr))
+	   && is_plausible_suffix (1))
+    {
+      /* Optimize: -Os:
+	   {nf} addw $N, %M   -> leaw N(%rM), %M
+	   {nf} addl $N, %eM  -> leal N(%rM), %eM
+	   {nf} addq $N, %rM  -> leaq N(%rM), %rM
+
+	   {nf} subw $N, %M   -> leaw -N(%rM), %M
+	   {nf} subl $N, %eM  -> leal -N(%rM), %eM
+	   {nf} subq $N, %rM  -> leaq -N(%rM), %rM
+
+	   {nf} addl $N, %eK, %eM  -> leal N(%rK), %eM
+	   {nf} addq $N, %rK, %rM  -> leaq N(%rK), %rM
+
+	   {nf} subl $N, %eK, %eM  -> leal -N(%rK), %eM
+	   {nf} subq $N, %rK, %rM  -> leaq -N(%rK), %rM
+       */
+      i.tm.opcode_space = SPACE_BASE;
+      i.tm.base_opcode = 0x8d;
+      if (i.tm.extension_opcode == 5)
+	i.op[0].imms->X_add_number = -i.op[0].imms->X_add_number;
+      i.tm.extension_opcode = None;
+      i.tm.opcode_modifier.evex = 0;
+      i.tm.opcode_modifier.vexvvvv = 0;
+      i.base_reg = i.op[1].regs;
+      if (i.types[1].bitfield.word)
+	{
+	  /* NB: No similar adjustment is needed when operand size is 32-bit.  */
+	  i.base_reg += 64;
+	}
+      i.op[1].regs = i.op[i.operands - 1].regs;
+
+      operand_type_set (&i.types[0], 0);
+      i.types[0].bitfield.baseindex = 1;
+      i.types[0].bitfield.disp32 = 1;
+      i.op[0].disps = i.op[0].imms;
+      i.flags[0] = Operand_Mem;
+      optimize_disp (&i.tm);
+      i.tm.operand_types[0] = i.types[0];
+
+      i.operands = 2;
+      i.disp_operands = i.mem_operands = i.reg_operands = 1;
+      i.imm_operands = 0;
+      i.has_nf = false;
+    }
+  else if (i.tm.base_opcode == 0x6b
+	   && !i.mem_operands
+	   && i.encoding != encoding_evex
+	   && is_plausible_suffix (1)
+	   /* %rsp can't be the index.  */
+	   && is_index (i.op[1].regs)
+	   /* There's no reduction in size for 16-bit forms requiring Disp8 and
+	      REX2.  */
+	   && (!optimize_for_space
+	       || !i.types[1].bitfield.word
+	       || i.op[1].regs->reg_num != 5
+	       || i.encoding != encoding_egpr)
+	   && i.op[0].imms->X_op == O_constant
+	   && (i.op[0].imms->X_add_number == 3
+	       || i.op[0].imms->X_add_number == 5
+	       || i.op[0].imms->X_add_number == 9))
+    {
+      /* Optimize: -O:
+        For n one of 3, 5, or 9
+	   {nf} imulw $n, %N, %M    -> leaw (%rN,%rN,n-1), %M
+	   {nf} imull $n, %eN, %eM  -> leal (%rN,%rN,n-1), %eM
+	   {nf} imulq $n, %rN, %rM  -> leaq (%rN,%rN,n-1), %rM
+
+	   {nf} imulw $n, %N   -> leaw (%rN,%rN,s), %N
+	   {nf} imull $n, %eN  -> leal (%rN,%rN,s), %eN
+	   {nf} imulq $n, %rN  -> leaq (%rN,%rN,s), %rN
+       */
+      i.tm.opcode_space = SPACE_BASE;
+      i.tm.base_opcode = 0x8d;
+      i.tm.extension_opcode = None;
+      i.tm.opcode_modifier.evex = 0;
+      i.base_reg = i.op[1].regs;
+      /* NB: No similar adjustment is needed when operand size is 32 bits.  */
+      if (i.types[1].bitfield.word)
+	i.base_reg += 64;
+      i.index_reg = i.base_reg;
+      i.log2_scale_factor = i.op[0].imms->X_add_number == 9
+			    ? 3 : i.op[0].imms->X_add_number >> 1;
+
+      operand_type_set (&i.types[0], 0);
+      i.types[0].bitfield.baseindex = 1;
+      i.tm.operand_types[0] = i.types[0];
+      i.op[0].disps = NULL;
+      i.flags[0] = Operand_Mem;
+
+      i.tm.operand_types[1] = i.tm.operand_types[i.operands - 1];
+      i.op[1].regs = i.op[i.operands - 1].regs;
+      i.types[1] = i.types[i.operands - 1];
+
+      i.operands = 2;
+      i.mem_operands = i.reg_operands = 1;
+      i.imm_operands = 0;
+      i.has_nf = false;
+    }
 }
 
 static void
@@ -7318,6 +7541,10 @@ md_assemble (char *line)
     i.encoding = is_any_vex_encoding (&i.tm) ? encoding_evex
 					     : encoding_default;
 
+  /* Similarly {nf} can now be taken to imply {evex}.  */
+  if (i.has_nf && i.encoding == encoding_default)
+    i.encoding = encoding_evex;
+
   if (use_unaligned_vector_move)
     encode_with_unaligned_vector_move ();
 
@@ -7631,8 +7858,6 @@ parse_insn (const char *line, char *mnem
 		case Prefix_NF:
 		  /* {nf} */
 		  i.has_nf = true;
-		  if (i.encoding == encoding_default)
-		    i.encoding = encoding_evex;
 		  break;
 		case Prefix_NoOptimize:
 		  /* {nooptimize} */
@@ -7641,7 +7866,9 @@ parse_insn (const char *line, char *mnem
 		default:
 		  abort ();
 		}
-	      if (i.has_nf && i.encoding != encoding_evex)
+	      if (i.has_nf
+		  && i.encoding != encoding_default
+		  && i.encoding != encoding_evex)
 		{
 		  as_bad (_("{nf} cannot be combined with {vex}/{vex3}"));
 		  return NULL;
@@ -8784,9 +9011,6 @@ VEX_check_encoding (const insn_template
 
   switch (i.encoding)
     {
-    case encoding_default:
-      break;
-
     case encoding_vex:
     case encoding_vex3:
       /* This instruction must be encoded with VEX prefix.  */
@@ -8797,6 +9021,10 @@ VEX_check_encoding (const insn_template
 	}
       break;
 
+    case encoding_default:
+      if (!i.has_nf)
+	break;
+      /* Fall through.  */
     case encoding_evex:
     case encoding_evex512:
       /* This instruction must be encoded with EVEX prefix.  */
--- a/gas/testsuite/gas/i386/x86-64.exp
+++ b/gas/testsuite/gas/i386/x86-64.exp
@@ -394,6 +394,7 @@ run_dump_test "x86-64-apx-jmpabs-inval"
 run_dump_test "x86-64-apx-nf"
 run_dump_test "x86-64-apx-nf-intel"
 run_dump_test "x86-64-apx-nf-optimize"
+run_dump_test "x86-64-apx-nf-optimize-size"
 run_dump_test "x86-64-apx-zu"
 run_dump_test "x86-64-apx-zu-intel"
 run_list_test "x86-64-apx-zu-inval"
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.s
@@ -1453,3 +1453,23 @@ optimize:
 	{nf}	ro\dir\()q	$63, (%rdx)
 	{nf}	ro\dir		$63, (%rdx), %rax
 	.endr
+
+	.irp r, "", e, r
+	{nf} imul $3, %\r\(cx), %\r\(dx)
+	{nf} imul $5, %\r\(bp), %\r\(dx)
+	{nf} imul $9, %\r\(cx), %\r\(bp)
+
+	# Note: %\r\(sp) source form needs leaving alone.
+	{nf} imul $3, %\r\(sp), %\r\(dx)
+	{nf} imul $5, %\r\(sp)
+
+	.ifeqs "\r",""
+	# Note: (16-bit) ZU form needs leaving alone.
+	{nf} imulzu $3, %cx, %dx
+	{nf} imulzu $5, %cx
+	# Note: 16-bit forms requiring REX2 and Disp8 want leaving alone with -Os.
+	{nf} imul $3, %bp, %r16w
+	{nf} imul $5, %r21w, %dx
+	{nf} imul $9, %r21w
+	.endif
+	.endr
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
@@ -1512,4 +1512,24 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%eax
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 02[ 	]+\{nf\} rolq \$1,\(%rdx\)
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%rax
+[ 	]*[a-f0-9]+:[ 	]*66 8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%dx
+[ 	]*[a-f0-9]+:[ 	]*66 8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*66 8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%bp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%sp,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%sp,%sp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b d1 03[ 	]+\{nf\} imulzu \$0x3,%cx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b c9 05[ 	]+\{nf\} imulzu \$0x5,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*66 d5 40 8d 44 6d 00[ 	]+lea    0x0\(%rbp,%rbp,2\),%r16w
+[ 	]*[a-f0-9]+:[ 	]*66 d5 30 8d 54 ad 00[ 	]+lea    0x0\(%r21,%r21,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*66 d5 70 8d 6c ed 00[ 	]+lea    0x0\(%r21,%r21,8\),%r21w
+[ 	]*[a-f0-9]+:[ 	]*8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%edx
+[ 	]*[a-f0-9]+:[ 	]*8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%ebp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%esp,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%esp,%esp
+[ 	]*[a-f0-9]+:[ 	]*48 8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%rdx
+[ 	]*[a-f0-9]+:[ 	]*48 8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%rdx
+[ 	]*[a-f0-9]+:[ 	]*48 8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%rbp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%rsp,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%rsp,%rsp
 #pass
--- /dev/null
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
@@ -0,0 +1,1535 @@
+#as: -Os
+#objdump: -dw
+#name: x86_64 APX_F insns with nf pseudo prefix and -Os
+#source: x86-64-apx-nf.s
+
+.*: +file format .*
+
+Disassembly of section \.text:
+
+0+ <_start>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 52 7b[ 	]+lea    0x7b\(%rdx\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 49 7b[ 	]+lea    0x7b\(%rcx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 51 7b[ 	]+lea    0x7b\(%rcx\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4d 8d 49 7b[ 	]+lea    0x7b\(%r9\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4d 8d 79 7b[ 	]+lea    0x7b\(%r9\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 04 10[ 	]+lea[ 	]+\(%rax,%rdx,1\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 14 0a[ 	]+lea[ 	]+\(%rdx,%rcx,1\),%edx
+[ 	]*[a-f0-9]+:[ 	]*44 8d 14 0a[ 	]+lea[ 	]+\(%rdx,%rcx,1\),%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*d5 5f 8d 3c 0f[ 	]+lea[ 	]+\(%r31,%r9,1\),%r31
+[ 	]*[a-f0-9]+:[ 	]*d5 1f 8d 1c 0f[ 	]+lea[ 	]+\(%r31,%r9,1\),%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 7b[ 	]+\{nf\} imul \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d1 7b[ 	]+\{nf\} imul \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b f9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b c9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 90[ 	]+\{nf\} imul \$0xff90,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 69 d1 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 f9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 c9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 90[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 e3[ 	]+\{nf\} shl \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 e3[ 	]+\{nf\} shl \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 14 12[ 	]+lea[ 	]+\(%rdx,%rdx,1\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 e2[ 	]+\{nf\} shl \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 14 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4f 8d 0c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4f 8d 3c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 f9[ 	]+\{nf\} sar %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 f9[ 	]+\{nf\} sar %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 f9[ 	]+\{nf\} sar %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 e3[ 	]+\{nf\} shl \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 e3[ 	]+\{nf\} shl \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 14 12[ 	]+lea[ 	]+\(%rdx,%rdx,1\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 e2[ 	]+\{nf\} shl \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 14 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4f 8d 0c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4f 8d 3c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e9[ 	]+\{nf\} shr %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e9[ 	]+\{nf\} shr %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e9[ 	]+\{nf\} shr %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 52 85[ 	]+lea    -0x7b\(%rdx\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 49 85[ 	]+lea    -0x7b\(%rcx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 51 85[ 	]+lea    -0x7b\(%rcx\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4d 8d 49 85[ 	]+lea    -0x7b\(%r9\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4d 8d 79 85[ 	]+lea    -0x7b\(%r9\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <intel>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 52 7b[ 	]+lea    0x7b\(%rdx\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 49 7b[ 	]+lea    0x7b\(%rcx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 51 7b[ 	]+lea    0x7b\(%rcx\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4d 8d 49 7b[ 	]+lea    0x7b\(%r9\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4d 8d 79 7b[ 	]+lea    0x7b\(%r9\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 04 10[ 	]+lea[ 	]+\(%rax,%rdx,1\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 14 0a[ 	]+lea[ 	]+\(%rdx,%rcx,1\),%edx
+[ 	]*[a-f0-9]+:[ 	]*44 8d 14 0a[ 	]+lea[ 	]+\(%rdx,%rcx,1\),%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*d5 5f 8d 3c 0f[ 	]+lea[ 	]+\(%r31,%r9,1\),%r31
+[ 	]*[a-f0-9]+:[ 	]*d5 1f 8d 1c 0f[ 	]+lea[ 	]+\(%r31,%r9,1\),%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 e3[ 	]+\{nf\} shl \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 e3[ 	]+\{nf\} shl \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 14 12[ 	]+lea[ 	]+\(%rdx,%rdx,1\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 e2[ 	]+\{nf\} shl \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 14 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4f 8d 0c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4f 8d 3c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 f9[ 	]+\{nf\} sar %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 f9[ 	]+\{nf\} sar %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 f9[ 	]+\{nf\} sar %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 e3[ 	]+\{nf\} shl \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 e3[ 	]+\{nf\} shl \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 14 12[ 	]+lea[ 	]+\(%rdx,%rdx,1\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 e2[ 	]+\{nf\} shl \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 14 09[ 	]+lea[ 	]+\(%rcx,%rcx,1\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4f 8d 0c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4f 8d 3c 09[ 	]+lea[ 	]+\(%r9,%r9,1\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e1[ 	]+\{nf\} shl %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e1[ 	]+\{nf\} shl %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e1[ 	]+\{nf\} shl %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 e9[ 	]+\{nf\} shr %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 e9[ 	]+\{nf\} shr %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 e9[ 	]+\{nf\} shr %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 52 85[ 	]+lea    -0x7b\(%rdx\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 49 85[ 	]+lea    -0x7b\(%rcx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 51 85[ 	]+lea    -0x7b\(%rcx\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4d 8d 49 85[ 	]+lea    -0x7b\(%r9\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4d 8d 79 85[ 	]+lea    -0x7b\(%r9\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <optimize>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 80[ 	]+\{nf\} add \$0x80,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 80[ 	]+\{nf\} add \$0x80,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 80[ 	]+\{nf\} sub \$0xf+80,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 80[ 	]+\{nf\} sub \$0xf+80,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 89 80 00 00 00[ 	]+lea    0x80\(%rcx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 91 80 00 00 00[ 	]+lea    0x80\(%rcx\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 80[ 	]+\{nf\} sub \$0xf+80,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 80[ 	]+\{nf\} sub \$0xf+80,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 00 80[ 	]+\{nf\} addb \$0x80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c 80 00 80[ 	]+\{nf\} add \$0x80,\(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 28 80[ 	]+\{nf\} subw \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c 83 28 80[ 	]+\{nf\} sub \$0xf+80,\(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 28 80[ 	]+\{nf\} subl \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c 83 28 80[ 	]+\{nf\} sub \$0xf+80,\(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 83 28 80[ 	]+\{nf\} subq \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c 83 28 80[ 	]+\{nf\} sub \$0xf+80,\(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 00[ 	]+\{nf\} incb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 00[ 	]+\{nf\} inc \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 00[ 	]+\{nf\} incw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 00[ 	]+\{nf\} inc \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 00[ 	]+\{nf\} incl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 00[ 	]+\{nf\} inc \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 00[ 	]+\{nf\} incq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 00[ 	]+\{nf\} inc \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 08[ 	]+\{nf\} decb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 08[ 	]+\{nf\} dec \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 08[ 	]+\{nf\} decw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 08[ 	]+\{nf\} dec \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 08[ 	]+\{nf\} decl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 08[ 	]+\{nf\} dec \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 08[ 	]+\{nf\} decq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 08[ 	]+\{nf\} dec \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 80[ 	]+\{nf\} sub \$0x80,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 80[ 	]+\{nf\} sub \$0x80,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*66 8d 52 80[ 	]+lea    -0x80\(%rdx\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 80[ 	]+\{nf\} add \$0xf+80,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*8d 49 80[ 	]+lea    -0x80\(%rcx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 51 80[ 	]+lea    -0x80\(%rcx\),%edx
+[ 	]*[a-f0-9]+:[ 	]*4d 8d 49 80[ 	]+lea    -0x80\(%r9\),%r9
+[ 	]*[a-f0-9]+:[ 	]*d5 4d 8d 79 80[ 	]+lea    -0x80\(%r9\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 28 80[ 	]+\{nf\} subb \$0x80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c 80 28 80[ 	]+\{nf\} sub \$0x80,\(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 00 80[ 	]+\{nf\} addw \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c 83 00 80[ 	]+\{nf\} add \$0xf+80,\(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 00 80[ 	]+\{nf\} addl \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c 83 00 80[ 	]+\{nf\} add \$0xf+80,\(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 83 00 80[ 	]+\{nf\} addq \$0xf+80,\(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c 83 00 80[ 	]+\{nf\} add \$0xf+80,\(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 08[ 	]+\{nf\} decb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 08[ 	]+\{nf\} dec \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 08[ 	]+\{nf\} decw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 08[ 	]+\{nf\} dec \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 08[ 	]+\{nf\} decl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 08[ 	]+\{nf\} dec \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 08[ 	]+\{nf\} decq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 08[ 	]+\{nf\} dec \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe 00[ 	]+\{nf\} incb \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 64 1c fe 00[ 	]+\{nf\} inc \(%rax\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff 00[ 	]+\{nf\} incw \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6d 1c ff 00[ 	]+\{nf\} inc \(%rax\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff 00[ 	]+\{nf\} incl \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c ff 00[ 	]+\{nf\} inc \(%rax\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c ff 00[ 	]+\{nf\} incq \(%rax\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 b4 1c ff 00[ 	]+\{nf\} inc \(%rax\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 ca[ 	]+\{nf\} ror \$1,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 ca[ 	]+\{nf\} ror \$1,%dl,%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 ca[ 	]+\{nf\} ror \$1,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 ca[ 	]+\{nf\} ror \$1,%edx,%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 ca[ 	]+\{nf\} ror \$1,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 ca[ 	]+\{nf\} ror \$1,%rdx,%rax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 0a[ 	]+\{nf\} rorb \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 0a[ 	]+\{nf\} rorw \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 0a[ 	]+\{nf\} rorl \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 0a[ 	]+\{nf\} rorq \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 0a[ 	]+\{nf\} ror \$1,\(%rdx\),%rax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c2[ 	]+\{nf\} rol \$1,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 c2[ 	]+\{nf\} rol \$1,%dl,%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c2[ 	]+\{nf\} rol \$1,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 c2[ 	]+\{nf\} rol \$1,%edx,%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 c2[ 	]+\{nf\} rol \$1,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 c2[ 	]+\{nf\} rol \$1,%rdx,%rax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 02[ 	]+\{nf\} rolb \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d0 02[ 	]+\{nf\} rol \$1,\(%rdx\),%al
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 02[ 	]+\{nf\} rolw \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 02[ 	]+\{nf\} roll \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%eax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c d1 02[ 	]+\{nf\} rolq \$1,\(%rdx\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 1c d1 02[ 	]+\{nf\} rol \$1,\(%rdx\),%rax
+[ 	]*[a-f0-9]+:[ 	]*66 8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%dx
+[ 	]*[a-f0-9]+:[ 	]*66 8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*66 8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%bp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%sp,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%sp,%sp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b d1 03[ 	]+\{nf\} imulzu \$0x3,%cx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b c9 05[ 	]+\{nf\} imulzu \$0x5,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 e4 7d 0c 6b c5 03[ 	]+\{nf\} imul \$0x3,%bp,%r16w
+[ 	]*[a-f0-9]+:[ 	]*62 fc 7d 0c 6b d5 05[ 	]+\{nf\} imul \$0x5,%r21w,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 ec 7d 0c 6b ed 09[ 	]+\{nf\} imul \$0x9,%r21w,%r21w
+[ 	]*[a-f0-9]+:[ 	]*8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%edx
+[ 	]*[a-f0-9]+:[ 	]*8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%ebp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%esp,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%esp,%esp
+[ 	]*[a-f0-9]+:[ 	]*48 8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%rdx
+[ 	]*[a-f0-9]+:[ 	]*48 8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%rdx
+[ 	]*[a-f0-9]+:[ 	]*48 8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%rbp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%rsp,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%rsp,%rsp
+#pass
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -313,7 +313,7 @@ sti, 0xfb, 0, NoSuf, {}
 // Arithmetic.
 
 <alu2:opc:c:optz:optt:opti:optiE:nf, +
-    add:0:C::::Optimize:NF, +
+    add:0:C:::::NF|Optimize, +
     or:1:C::Optimize:::NF, +
     adc:2:C:::::, +
     sbb:3::::::, +
@@ -418,7 +418,7 @@ imul, 0xaf, APX_F, C|Modrm|CheckOperandS
 imul, 0xfaf, i386, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0xaf, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0x6b, i186, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
-imul, 0x6b, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
+imul, 0x6b, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF|Optimize, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imulzu, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|NF|ZU, { Imm8S, Reg16|Unspecified|BaseIndex, Reg16 }
 imul, 0x69, i186, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0x69, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
@@ -427,7 +427,7 @@ imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sS
 // both i.rm.reg & i.rm.regmem fields.  RegKludge enables this
 // transformation.
 imul, 0x6b, i186, Modrm|No_bSuf|No_sSuf|RegKludge, { Imm8S, Reg16|Reg32|Reg64 }
-imul, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF, { Imm8S, Reg16|Reg32|Reg64 }
+imul, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF|Optimize, { Imm8S, Reg16|Reg32|Reg64 }
 imul, 0x69, i186, Modrm|No_bSuf|No_sSuf|RegKludge, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64 }
 imul, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64 }
 // ZU is omitted here, for colliding with RegKludge.  process_operands() will


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 4/8] x86-64: restrict by-imm31 optimization
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
                   ` (2 preceding siblings ...)
  2024-06-21 12:50 ` [PATCH v2 3/8] x86/APX: optimize certain {nf}-form insns to LEA Jan Beulich
@ 2024-06-21 12:51 ` Jan Beulich
  2024-06-21 12:51 ` [PATCH v2 5/8] x86/APX: extend TEST-by-imm7 optimization to CTESTcc Jan Beulich
                   ` (3 subsequent siblings)
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:51 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

Avoid changing the encoding when there's no size gain: If there's a REX
or REX2 prefix anyway and the base opcode wouldn't be changed, dropping
just REX.W / REX2.W has no (size) effect. (Same for the AND-by-imm7 case
in the same big conditional.)

While there also pull out the .qword check: For the 2-register-operands
case whether that's done on the 1st or 2nd operand doesn't matter. Due
to reduction in necessary parentheses this improves readability a tiny
bit.
---
v2: New.

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -4809,8 +4809,8 @@ optimize_encoding (void)
     }
   else if (flag_code == CODE_64BIT
 	   && i.tm.opcode_space == SPACE_BASE
-	   && ((i.types[1].bitfield.qword
-		&& i.reg_operands == 1
+	   && i.types[i.operands - 1].bitfield.qword
+	   && ((i.reg_operands == 1
 		&& i.imm_operands == 1
 		&& i.op[0].imms->X_op == O_constant
 		&& ((i.tm.base_opcode == 0xb8
@@ -4818,26 +4818,29 @@ optimize_encoding (void)
 		     && fits_in_unsigned_long (i.op[0].imms->X_add_number))
 		    || (fits_in_imm31 (i.op[0].imms->X_add_number)
 			&& (i.tm.base_opcode == 0x24
-			    || (i.tm.base_opcode == 0x80
-				&& i.tm.extension_opcode == 0x4)
-			    || i.tm.mnem_off == MN_test
+			    || (((i.tm.base_opcode == 0x80
+				  && i.tm.extension_opcode == 0x4)
+				 || i.tm.mnem_off == MN_test)
+				&& !(i.op[1].regs->reg_flags
+				     & (RegRex | RegRex2)))
 			    || ((i.tm.base_opcode | 1) == 0xc7
 				&& i.tm.extension_opcode == 0x0)))
 		    || (fits_in_imm7 (i.op[0].imms->X_add_number)
 			&& i.tm.base_opcode == 0x83
-			&& i.tm.extension_opcode == 0x4)))
-	       || (i.types[0].bitfield.qword
-		   && ((i.reg_operands == 2
-			&& i.op[0].regs == i.op[1].regs
-			&& (i.tm.mnem_off == MN_xor
-			    || i.tm.mnem_off == MN_sub))
-		       || i.tm.mnem_off == MN_clr))))
+			&& i.tm.extension_opcode == 0x4
+			&& !(i.op[1].regs->reg_flags & (RegRex | RegRex2)))))
+	       || ((i.reg_operands == 2
+		    && i.op[0].regs == i.op[1].regs
+		    && (i.tm.mnem_off == MN_xor
+			|| i.tm.mnem_off == MN_sub))
+		   || i.tm.mnem_off == MN_clr)))
     {
       /* Optimize: -O:
 	   andq $imm31, %r64   -> andl $imm31, %r32
 	   andq $imm7, %r64    -> andl $imm7, %r32
 	   testq $imm31, %r64  -> testl $imm31, %r32
 	   xorq %r64, %r64     -> xorl %r32, %r32
+	   clrq %r64           -> clrl %r32
 	   subq %r64, %r64     -> subl %r32, %r32
 	   movq $imm31, %r64   -> movl $imm31, %r32
 	   movq $imm32, %r64   -> movl $imm32, %r32
--- a/gas/testsuite/gas/i386/x86-64-apx-ndd-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-ndd-optimize.d
@@ -35,7 +35,7 @@ Disassembly of section .text:
 \s*[a-f0-9]+:\s*62 7c 74 10 20 f9    	and    %r15b,%r17b,%r17b
 \s*[a-f0-9]+:\s*4d 23 38             	and    \(%r8\),%r15
 \s*[a-f0-9]+:\s*d5 49 23 04 07       	and    \(%r15,%rax,1\),%r16
-\s*[a-f0-9]+:\s*d5 11 81 e6 34 12 00 00 	and    \$0x1234,%r30d
+\s*[a-f0-9]+:\s*d5 19 81 e6 34 12 00 00 	and    \$0x1234,%r30
 \s*[a-f0-9]+:\s*d5 1c 09 f9          	or     %r15,%r17
 \s*[a-f0-9]+:\s*62 7c 74 10 08 f9    	or     %r15b,%r17b,%r17b
 \s*[a-f0-9]+:\s*4d 0b 38             	or     \(%r8\),%r15
--- a/gas/testsuite/gas/i386/x86-64-optimize-1.d
+++ b/gas/testsuite/gas/i386/x86-64-optimize-1.d
@@ -11,19 +11,19 @@ Disassembly of section .text:
  +[a-f0-9]+:	48 25 00 00 00 00    	and    \$0x0,%rax	2: R_X86_64_32S	foo
  +[a-f0-9]+:	25 ff ff ff 7f       	and    \$0x7fffffff,%eax
  +[a-f0-9]+:	81 e3 ff ff ff 7f    	and    \$0x7fffffff,%ebx
- +[a-f0-9]+:	41 81 e6 ff ff ff 7f 	and    \$0x7fffffff,%r14d
+ +[a-f0-9]+:	49 81 e6 ff ff ff 7f 	and    \$0x7fffffff,%r14
  +[a-f0-9]+:	48 25 00 00 00 80    	and    \$0xffffffff80000000,%rax
  +[a-f0-9]+:	48 81 e3 00 00 00 80 	and    \$0xffffffff80000000,%rbx
  +[a-f0-9]+:	49 81 e6 00 00 00 80 	and    \$0xffffffff80000000,%r14
  +[a-f0-9]+:	83 e0 7f             	and    \$0x7f,%eax
  +[a-f0-9]+:	83 e3 7f             	and    \$0x7f,%ebx
- +[a-f0-9]+:	41 83 e6 7f          	and    \$0x7f,%r14d
+ +[a-f0-9]+:	49 83 e6 7f          	and    \$0x7f,%r14
  +[a-f0-9]+:	48 83 e0 80          	and    \$0xffffffffffffff80,%rax
  +[a-f0-9]+:	48 83 e3 80          	and    \$0xffffffffffffff80,%rbx
  +[a-f0-9]+:	49 83 e6 80          	and    \$0xffffffffffffff80,%r14
  +[a-f0-9]+:	a9 ff ff ff 7f       	test   \$0x7fffffff,%eax
  +[a-f0-9]+:	f7 c3 ff ff ff 7f    	test   \$0x7fffffff,%ebx
- +[a-f0-9]+:	41 f7 c6 ff ff ff 7f 	test   \$0x7fffffff,%r14d
+ +[a-f0-9]+:	49 f7 c6 ff ff ff 7f 	test   \$0x7fffffff,%r14
  +[a-f0-9]+:	48 a9 00 00 00 80    	test   \$0xffffffff80000000,%rax
  +[a-f0-9]+:	48 f7 c3 00 00 00 80 	test   \$0xffffffff80000000,%rbx
  +[a-f0-9]+:	49 f7 c6 00 00 00 80 	test   \$0xffffffff80000000,%r14
--- a/gas/testsuite/gas/i386/x86-64-optimize-3b.d
+++ b/gas/testsuite/gas/i386/x86-64-optimize-3b.d
@@ -21,11 +21,11 @@ Disassembly of section .text:
  +[a-f0-9]+:	f7 c7 7f 00 00 00    	test   \$0x7f,%edi
  +[a-f0-9]+:	66 f7 c7 7f 00       	test   \$0x7f,%di
  +[a-f0-9]+:	40 f6 c7 7f          	test   \$0x7f,%dil
- +[a-f0-9]+:	41 f7 c1 7f 00 00 00 	test   \$0x7f,%r9d
+ +[a-f0-9]+:	49 f7 c1 7f 00 00 00 	test   \$0x7f,%r9
  +[a-f0-9]+:	41 f7 c1 7f 00 00 00 	test   \$0x7f,%r9d
  +[a-f0-9]+:	66 41 f7 c1 7f 00    	test   \$0x7f,%r9w
  +[a-f0-9]+:	41 f6 c1 7f          	test   \$0x7f,%r9b
- +[a-f0-9]+:	41 f7 c4 7f 00 00 00 	test   \$0x7f,%r12d
+ +[a-f0-9]+:	49 f7 c4 7f 00 00 00 	test   \$0x7f,%r12
  +[a-f0-9]+:	41 f7 c4 7f 00 00 00 	test   \$0x7f,%r12d
  +[a-f0-9]+:	66 41 f7 c4 7f 00    	test   \$0x7f,%r12w
  +[a-f0-9]+:	41 f6 c4 7f          	test   \$0x7f,%r12b


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 5/8] x86/APX: extend TEST-by-imm7 optimization to CTESTcc
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
                   ` (3 preceding siblings ...)
  2024-06-21 12:51 ` [PATCH v2 4/8] x86-64: restrict by-imm31 optimization Jan Beulich
@ 2024-06-21 12:51 ` Jan Beulich
  2024-06-21 12:52 ` [PATCH v2 6/8] x86/APX: optimize {nf}-form IMUL-by-power-of-2 to SHL Jan Beulich
                   ` (2 subsequent siblings)
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:51 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

The same properties apply there.
---
I actually wonder why this optimization is limited to -Os: Reduced
encoding size is also a performance benefit, by reducing decode bandwith
needs and cache footprint. Imo restriction to -Os should cover only
cases where there may be a negative impact on performance, i.e. when
there's "a price to pay" for the size reduction.
---
v2: New.

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -4770,7 +4770,9 @@ optimize_encoding (void)
     }
 
   if (optimize_for_space
-      && i.tm.mnem_off == MN_test
+      && (i.tm.mnem_off == MN_test
+          || (i.tm.base_opcode == 0xf6
+              && i.tm.opcode_space == SPACE_EVEXMAP4))
       && i.reg_operands == 1
       && i.imm_operands == 1
       && !i.types[1].bitfield.byte
@@ -4779,9 +4781,13 @@ optimize_encoding (void)
       && fits_in_imm7 (i.op[0].imms->X_add_number))
     {
       /* Optimize: -Os:
-	   test $imm7, %r64/%r32/%r16  -> test $imm7, %r8
+	   test      $imm7, %r64/%r32/%r16  -> test      $imm7, %r8
+	   ctest<cc> $imm7, %r64/%r32/%r16  -> ctest<cc> $imm7, %r8
        */
       unsigned int base_regnum = i.op[1].regs->reg_num;
+
+      gas_assert (!i.tm.opcode_modifier.modrm || i.tm.extension_opcode == 0);
+
       if (flag_code == CODE_64BIT || base_regnum < 4)
 	{
 	  i.types[1].bitfield.byte = 1;
--- a/gas/testsuite/gas/i386/x86-64-optimize-3.d
+++ b/gas/testsuite/gas/i386/x86-64-optimize-3.d
@@ -28,6 +28,50 @@ Disassembly of section .text:
  +[a-f0-9]+:	41 f6 c4 7f          	test   \$0x7f,%r12b
  +[a-f0-9]+:	41 f6 c4 7f          	test   \$0x7f,%r12b
  +[a-f0-9]+:	41 f6 c4 7f          	test   \$0x7f,%r12b
+ +[a-f0-9]+:	d5 10 f6 c6 7f       	test   \$0x7f,%r22b
+ +[a-f0-9]+:	d5 10 f6 c6 7f       	test   \$0x7f,%r22b
+ +[a-f0-9]+:	d5 10 f6 c6 7f       	test   \$0x7f,%r22b
+ +[a-f0-9]+:	d5 10 f6 c6 7f       	test   \$0x7f,%r22b
+ +[a-f0-9]+:	62 f4 04 0a f6 c3 7f 	ctestt( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 0a f6 c3 7f 	ctestt( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 0a f6 c3 7f 	ctestt( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 0a f6 c3 7f 	ctestt( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 0a f6 c7 7f 	ctestt( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 f4 04 0a f6 c7 7f 	ctestt( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 f4 04 0a f6 c7 7f 	ctestt( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 f4 04 0a f6 c7 7f 	ctestt( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 d4 04 0a f6 c1 7f 	ctestt( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 0a f6 c1 7f 	ctestt( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 0a f6 c1 7f 	ctestt( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 0a f6 c1 7f 	ctestt( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 0a f6 c4 7f 	ctestt( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 d4 04 0a f6 c4 7f 	ctestt( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 d4 04 0a f6 c4 7f 	ctestt( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 d4 04 0a f6 c4 7f 	ctestt( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 fc 04 0a f6 c6 7f 	ctestt( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 fc 04 0a f6 c6 7f 	ctestt( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 fc 04 0a f6 c6 7f 	ctestt( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 fc 04 0a f6 c6 7f 	ctestt( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 f4 04 02 f6 c3 7f 	ctestb( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 02 f6 c3 7f 	ctestb( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 02 f6 c3 7f 	ctestb( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 02 f6 c3 7f 	ctestb( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 04 02 f6 c7 7f 	ctestb( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 f4 04 02 f6 c7 7f 	ctestb( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 f4 04 02 f6 c7 7f 	ctestb( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 f4 04 02 f6 c7 7f 	ctestb( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 d4 04 02 f6 c1 7f 	ctestb( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 02 f6 c1 7f 	ctestb( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 02 f6 c1 7f 	ctestb( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 02 f6 c1 7f 	ctestb( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 04 02 f6 c4 7f 	ctestb( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 d4 04 02 f6 c4 7f 	ctestb( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 d4 04 02 f6 c4 7f 	ctestb( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 d4 04 02 f6 c4 7f 	ctestb( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 fc 04 02 f6 c6 7f 	ctestb( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 fc 04 02 f6 c6 7f 	ctestb( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 fc 04 02 f6 c6 7f 	ctestb( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 fc 04 02 f6 c6 7f 	ctestb( \{dfv=\})? \$0x7f,%r22b
  +[a-f0-9]+:	20 c9                	and    %cl,%cl
  +[a-f0-9]+:	66 21 d2             	and    %dx,%dx
  +[a-f0-9]+:	21 db                	and    %ebx,%ebx
--- a/gas/testsuite/gas/i386/x86-64-optimize-3.s
+++ b/gas/testsuite/gas/i386/x86-64-optimize-3.s
@@ -6,22 +6,28 @@ _start:
 	testl	$0x7f, %eax
 	testw	$0x7f, %ax
 	testb	$0x7f, %al
-	test	$0x7f, %rbx
-	test	$0x7f, %ebx
-	test	$0x7f, %bx
-	test	$0x7f, %bl
-	test	$0x7f, %rdi
-	test	$0x7f, %edi
-	test	$0x7f, %di
-	test	$0x7f, %dil
-	test	$0x7f, %r9
-	test	$0x7f, %r9d
-	test	$0x7f, %r9w
-	test	$0x7f, %r9b
-	test	$0x7f, %r12
-	test	$0x7f, %r12d
-	test	$0x7f, %r12w
-	test	$0x7f, %r12b
+	.irp tst, test, "{evex} test", ctestc
+	\tst	$0x7f, %rbx
+	\tst	$0x7f, %ebx
+	\tst	$0x7f, %bx
+	\tst	$0x7f, %bl
+	\tst	$0x7f, %rdi
+	\tst	$0x7f, %edi
+	\tst	$0x7f, %di
+	\tst	$0x7f, %dil
+	\tst	$0x7f, %r9
+	\tst	$0x7f, %r9d
+	\tst	$0x7f, %r9w
+	\tst	$0x7f, %r9b
+	\tst	$0x7f, %r12
+	\tst	$0x7f, %r12d
+	\tst	$0x7f, %r12w
+	\tst	$0x7f, %r12b
+	\tst	$0x7f, %r22
+	\tst	$0x7f, %r22d
+	\tst	$0x7f, %r22w
+	\tst	$0x7f, %r22b
+	.endr
 
 	and	%cl, %cl
 	and	%dx, %dx
--- a/gas/testsuite/gas/i386/x86-64-optimize-3b.d
+++ b/gas/testsuite/gas/i386/x86-64-optimize-3b.d
@@ -29,6 +29,50 @@ Disassembly of section .text:
  +[a-f0-9]+:	41 f7 c4 7f 00 00 00 	test   \$0x7f,%r12d
  +[a-f0-9]+:	66 41 f7 c4 7f 00    	test   \$0x7f,%r12w
  +[a-f0-9]+:	41 f6 c4 7f          	test   \$0x7f,%r12b
+ +[a-f0-9]+:	d5 18 f7 c6 7f 00 00 00 	test   \$0x7f,%r22
+ +[a-f0-9]+:	d5 10 f7 c6 7f 00 00 00 	test   \$0x7f,%r22d
+ +[a-f0-9]+:	66 d5 10 f7 c6 7f 00 	test   \$0x7f,%r22w
+ +[a-f0-9]+:	d5 10 f6 c6 7f       	test   \$0x7f,%r22b
+ +[a-f0-9]+:	62 f4 84 0a f7 c3 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%rbx
+ +[a-f0-9]+:	62 f4 04 0a f7 c3 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%ebx
+ +[a-f0-9]+:	62 f4 05 0a f7 c3 7f 00 	ctestt( \{dfv=\})? \$0x7f,%bx
+ +[a-f0-9]+:	62 f4 04 0a f6 c3 7f 	ctestt( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 84 0a f7 c7 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%rdi
+ +[a-f0-9]+:	62 f4 04 0a f7 c7 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%edi
+ +[a-f0-9]+:	62 f4 05 0a f7 c7 7f 00 	ctestt( \{dfv=\})? \$0x7f,%di
+ +[a-f0-9]+:	62 f4 04 0a f6 c7 7f 	ctestt( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 d4 84 0a f7 c1 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%r9
+ +[a-f0-9]+:	62 d4 04 0a f7 c1 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%r9d
+ +[a-f0-9]+:	62 d4 05 0a f7 c1 7f 00 	ctestt( \{dfv=\})? \$0x7f,%r9w
+ +[a-f0-9]+:	62 d4 04 0a f6 c1 7f 	ctestt( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 84 0a f7 c4 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%r12
+ +[a-f0-9]+:	62 d4 04 0a f7 c4 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%r12d
+ +[a-f0-9]+:	62 d4 05 0a f7 c4 7f 00 	ctestt( \{dfv=\})? \$0x7f,%r12w
+ +[a-f0-9]+:	62 d4 04 0a f6 c4 7f 	ctestt( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 fc 84 0a f7 c6 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%r22
+ +[a-f0-9]+:	62 fc 04 0a f7 c6 7f 00 00 00 	ctestt( \{dfv=\})? \$0x7f,%r22d
+ +[a-f0-9]+:	62 fc 05 0a f7 c6 7f 00 	ctestt( \{dfv=\})? \$0x7f,%r22w
+ +[a-f0-9]+:	62 fc 04 0a f6 c6 7f 	ctestt( \{dfv=\})? \$0x7f,%r22b
+ +[a-f0-9]+:	62 f4 84 02 f7 c3 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%rbx
+ +[a-f0-9]+:	62 f4 04 02 f7 c3 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%ebx
+ +[a-f0-9]+:	62 f4 05 02 f7 c3 7f 00 	ctestb( \{dfv=\})? \$0x7f,%bx
+ +[a-f0-9]+:	62 f4 04 02 f6 c3 7f 	ctestb( \{dfv=\})? \$0x7f,%bl
+ +[a-f0-9]+:	62 f4 84 02 f7 c7 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%rdi
+ +[a-f0-9]+:	62 f4 04 02 f7 c7 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%edi
+ +[a-f0-9]+:	62 f4 05 02 f7 c7 7f 00 	ctestb( \{dfv=\})? \$0x7f,%di
+ +[a-f0-9]+:	62 f4 04 02 f6 c7 7f 	ctestb( \{dfv=\})? \$0x7f,%dil
+ +[a-f0-9]+:	62 d4 84 02 f7 c1 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%r9
+ +[a-f0-9]+:	62 d4 04 02 f7 c1 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%r9d
+ +[a-f0-9]+:	62 d4 05 02 f7 c1 7f 00 	ctestb( \{dfv=\})? \$0x7f,%r9w
+ +[a-f0-9]+:	62 d4 04 02 f6 c1 7f 	ctestb( \{dfv=\})? \$0x7f,%r9b
+ +[a-f0-9]+:	62 d4 84 02 f7 c4 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%r12
+ +[a-f0-9]+:	62 d4 04 02 f7 c4 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%r12d
+ +[a-f0-9]+:	62 d4 05 02 f7 c4 7f 00 	ctestb( \{dfv=\})? \$0x7f,%r12w
+ +[a-f0-9]+:	62 d4 04 02 f6 c4 7f 	ctestb( \{dfv=\})? \$0x7f,%r12b
+ +[a-f0-9]+:	62 fc 84 02 f7 c6 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%r22
+ +[a-f0-9]+:	62 fc 04 02 f7 c6 7f 00 00 00 	ctestb( \{dfv=\})? \$0x7f,%r22d
+ +[a-f0-9]+:	62 fc 05 02 f7 c6 7f 00 	ctestb( \{dfv=\})? \$0x7f,%r22w
+ +[a-f0-9]+:	62 fc 04 02 f6 c6 7f 	ctestb( \{dfv=\})? \$0x7f,%r22b
  +[a-f0-9]+:	84 c9                	test   %cl,%cl
  +[a-f0-9]+:	66 85 d2             	test   %dx,%dx
  +[a-f0-9]+:	21 db                	and    %ebx,%ebx
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -357,10 +357,10 @@ test, 0x84, 0, D|W|C|CheckOperandSize|Mo
 test, 0xa8, 0, W|No_sSuf|Optimize, { Imm8|Imm16|Imm32|Imm32S, Acc|Byte|Word|Dword|Qword }
 test, 0xf6/0, 0, W|Modrm|No_sSuf|Optimize, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 test, 0x840a, 0, D|W|C|CheckOperandSize|Modrm|EVexMap4|Scc|No_sSuf, { Reg8|Reg16|Reg32|Reg64, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-test, 0xf60a/0, 0, W|Modrm|EVexMap4|Scc|No_sSuf, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+test, 0xf60a/0, 0, W|Modrm|EVexMap4|Scc|No_sSuf|Optimize, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 
 ctest<scc>, 0x840<scc:opc>, APX_F, D|W|C|CheckOperandSize|Modrm|EVexMap4|Scc|No_sSuf, { Reg8|Reg16|Reg32|Reg64, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-ctest<scc>, 0xf60<scc:opc>/0, APX_F, W|Modrm|EVexMap4|Scc|No_sSuf, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+ctest<scc>, 0xf60<scc:opc>/0, APX_F, W|Modrm|EVexMap4|Scc|No_sSuf|Optimize, { Imm8|Imm16|Imm32|Imm32S, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 
 <scc>
 


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 6/8] x86/APX: optimize {nf}-form IMUL-by-power-of-2 to SHL
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
                   ` (4 preceding siblings ...)
  2024-06-21 12:51 ` [PATCH v2 5/8] x86/APX: extend TEST-by-imm7 optimization to CTESTcc Jan Beulich
@ 2024-06-21 12:52 ` Jan Beulich
  2024-06-21 12:53 ` [PATCH v2 7/8] x86/APX: optimize certain {nf}-form insns to BMI2 ones Jan Beulich
  2024-06-21 12:53 ` [PATCH v2 8/8] x86/APX: apply NDD-to-legacy transformation to further CMOVcc forms Jan Beulich
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:52 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

..., for differing only in the resulting EFLAGS, which are left
untouched anyway. That's a shorter encoding, available as long as
certain constraints on operands are met; see code comments. (SHL-by-1
forms may then be subject to further optimization that was introduced
earlier.)

Note that kind of as a side effect this also converts multiplication by
1 to shift by 0, which is a plain move or even no-op anyway. That could
be further shrunk (as could be presence of shifts/rotates by 0 in the
original code as  well as a fair set of other {nf}-form insns), yet the
expectation (for now) is that people won't write such code in the first
place.
---
RFC: Comparing i.op[2].regs against i.op[1].regs without first checking
     that operand 1 isn't a memory operand is at least UB-ish, for
     memory operands setting i.op[].disps instead (if anything). Do we
     deem this tolerable?
---
v2: New.

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -5458,6 +5458,75 @@ optimize_nf_encoding (void)
       i.tm.operand_types[0].bitfield.imm1 = 1;
       i.imm_operands = 0;
     }
+  else if ((i.tm.base_opcode | 2) == 0x6b
+	   && i.op[0].imms->X_op == O_constant
+	   && (i.op[0].imms->X_add_number > 0
+	       ? !(i.op[0].imms->X_add_number & (i.op[0].imms->X_add_number - 1))
+	       /* optimize_imm() converts to sign-extended representation where
+		  possible (and input can also come with these specific numbers).  */
+	       : (i.types[i.operands - 1].bitfield.word
+		  && i.op[0].imms->X_add_number == -0x8000)
+		 || (i.types[i.operands - 1].bitfield.dword
+		     && i.op[0].imms->X_add_number + 1 == -0x7fffffff))
+	   /* 16-bit 3-operand non-ZU forms need leaviong alone, to prevent
+	      zero-extension of the result.  Unless, of course, both non-
+	      immediate operands match (which can be converted to the non-NDD
+	      form).  */
+	   && (i.operands < 3
+	       || !i.types[2].bitfield.word
+	       || i.tm.mnem_off == MN_imulzu
+	       || i.op[2].regs == i.op[1].regs)
+	   /* When merely optimizing for size, exclude cases where we'd convert
+	      from Imm8S to Imm8 encoding, thus not actually reducing size.  */
+	   && (!optimize_for_space
+	       || i.tm.base_opcode == 0x69
+	       || !(i.op[0].imms->X_add_number & 0x7d)))
+    {
+      /* Optimize: -O:
+	   {nf} imul   $1<<N, ...   -> {nf} shl $N, ...
+	   {nf} imulzu $1<<N, ...   -> {nf} shl $N, ...
+       */
+      if (i.op[0].imms->X_add_number != 2)
+	{
+	  i.tm.base_opcode = 0xc0;
+	  i.op[0].imms->X_add_number = ffs (i.op[0].imms->X_add_number) - 1;
+	  i.tm.operand_types[0].bitfield.imm8 = 1;
+	  i.tm.operand_types[0].bitfield.imm16 = 0;
+	  i.tm.operand_types[0].bitfield.imm32 = 0;
+	  i.tm.operand_types[0].bitfield.imm32s = 0;
+	}
+      else
+	{
+	  i.tm.base_opcode = 0xd0;
+	  i.tm.operand_types[0].bitfield.imm1 = 1;
+	}
+      i.types[0] = i.tm.operand_types[0];
+      i.tm.extension_opcode = 4;
+      i.tm.opcode_modifier.w = 1;
+      i.tm.opcode_modifier.operandconstraint = 0;
+      if (i.operands == 3)
+	{
+	  if (i.op[2].regs == i.op[1].regs && i.tm.mnem_off != MN_imulzu)
+	    {
+	      /* Convert to non-NDD form.  This is required for 16-bit insns
+	         (to prevent zero-extension) and benign for others.  */
+	      i.operands = 2;
+	      i.reg_operands = 1;
+	    }
+	  else
+	    i.tm.opcode_modifier.vexvvvv = VexVVVV_DST;
+	}
+      else if (i.tm.mnem_off == MN_imulzu)
+	{
+	  /* Convert to NDD form, to effect zero-extension of the result.  */
+	  i.tm.opcode_modifier.vexvvvv = VexVVVV_DST;
+	  i.operands = 3;
+	  i.reg_operands = 2;
+	  i.op[2].regs = i.op[1].regs;
+ 	  i.tm.operand_types[2] = i.tm.operand_types[1];
+ 	  i.types[2] = i.types[1];
+	}
+    }
 
   if (optimize_for_space
       && i.encoding != encoding_evex
@@ -5604,6 +5673,7 @@ optimize_nf_encoding (void)
   else if (i.tm.base_opcode == 0x6b
 	   && !i.mem_operands
 	   && i.encoding != encoding_evex
+	   && i.tm.mnem_off != MN_imulzu
 	   && is_plausible_suffix (1)
 	   /* %rsp can't be the index.  */
 	   && is_index (i.op[1].regs)
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.s
@@ -1472,4 +1472,40 @@ optimize:
 	{nf} imul $5, %r21w, %dx
 	{nf} imul $9, %r21w
 	.endif
+
+	# Note: 2-6 want leaving alone with -Os.
+	.irp n, 1, 2, 6, 7
+	# Note: 16-bit 3-operand src!=dst non-ZU form needs leaving alone.
+	{nf} imul $1<<\n, %\r\()dx, %\r\()cx
+	{nf} imul $1<<\n, (%rdx), %\r\()cx
+	{nf} imul $1<<\n, %\r\()cx, %\r\()cx
+	{nf} imul $1<<\n, %\r\()cx
+
+	.ifeqs "\r",""
+	{nf} imulzu $1<<\n, %dx, %cx
+	{nf} imulzu $1<<\n, (%rdx), %cx
+	{nf} imulzu $1<<\n, %cx, %cx
+	{nf} imulzu $1<<\n, %cx
+	.endif
+	.endr
+
+	.ifeqs "\r",""
+	# Note: 3-operand src!=dst non-ZU form needs leaving alone.
+	{nf} imul $1<<15, %dx, %cx
+	{nf} imul $-1<<15, (%rdx), %cx
+	{nf} imul $1<<15, %cx, %cx
+	{nf} imul $-1<<15, %cx
+	{nf} imulzu $1<<15, %cx
+	.endif
+
+	.ifeqs "\r","e"
+	{nf} imul $1<<31, %edx, %ecx
+	{nf} imul $-1<<31, (%rdx), %ecx
+	.endif
+
+	.ifeqs "\r","r"
+	{nf} imul $1<<30, %rdx, %rcx
+	# Needs leaving alone.
+	{nf} imul $-1<<31, %rdx, %rcx
+	.endif
 	.endr
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
@@ -1522,14 +1522,87 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*66 d5 40 8d 44 6d 00[ 	]+lea    0x0\(%rbp,%rbp,2\),%r16w
 [ 	]*[a-f0-9]+:[ 	]*66 d5 30 8d 54 ad 00[ 	]+lea    0x0\(%r21,%r21,4\),%dx
 [ 	]*[a-f0-9]+:[ 	]*66 d5 70 8d 6c ed 00[ 	]+lea    0x0\(%r21,%r21,8\),%r21w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b ca 02 	\{nf\} imul \$0x2,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b 0a 02 	\{nf\} imul \$0x2,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 c9    	\{nf\} add %cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 c9    	\{nf\} add %cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c 01 d2    	\{nf\} add %dx,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c d1 22    	\{nf\} shl \$1,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c 01 c9    	\{nf\} add %cx,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c 01 c9    	\{nf\} add %cx,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b ca 04 	\{nf\} imul \$0x4,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b 0a 04 	\{nf\} imul \$0x4,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 02 	\{nf\} shl \$0x2,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 02 	\{nf\} shl \$0x2,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e2 02 	\{nf\} shl \$0x2,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 22 02 	\{nf\} shl \$0x2,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 02 	\{nf\} shl \$0x2,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 02 	\{nf\} shl \$0x2,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b ca 40 	\{nf\} imul \$0x40,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b 0a 40 	\{nf\} imul \$0x40,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 06 	\{nf\} shl \$0x6,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 06 	\{nf\} shl \$0x6,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e2 06 	\{nf\} shl \$0x6,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 22 06 	\{nf\} shl \$0x6,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 06 	\{nf\} shl \$0x6,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 06 	\{nf\} shl \$0x6,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 ca 80 00 	\{nf\} imul \$0x80,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 0a 80 00 	\{nf\} imul \$0x80,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 07 	\{nf\} shl \$0x7,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 07 	\{nf\} shl \$0x7,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e2 07 	\{nf\} shl \$0x7,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 22 07 	\{nf\} shl \$0x7,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 07 	\{nf\} shl \$0x7,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 07 	\{nf\} shl \$0x7,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 ca 00 80 	\{nf\} imul \$0x8000,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 0a 00 80 	\{nf\} imul \$0x8000,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 0f 	\{nf\} shl \$0xf,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 0f 	\{nf\} shl \$0xf,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 0f 	\{nf\} shl \$0xf,%cx,%cx
 [ 	]*[a-f0-9]+:[ 	]*8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%edx
 [ 	]*[a-f0-9]+:[ 	]*8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%edx
 [ 	]*[a-f0-9]+:[ 	]*8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%ebp
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%esp,%edx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%esp,%esp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c 01 d2    	\{nf\} add %edx,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c d1 22    	\{nf\} shl \$1,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9    	\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9    	\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 e2 02 	\{nf\} shl \$0x2,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 22 02 	\{nf\} shl \$0x2,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 02 	\{nf\} shl \$0x2,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 02 	\{nf\} shl \$0x2,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 e2 06 	\{nf\} shl \$0x6,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 22 06 	\{nf\} shl \$0x6,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 06 	\{nf\} shl \$0x6,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 06 	\{nf\} shl \$0x6,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 e2 07 	\{nf\} shl \$0x7,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 22 07 	\{nf\} shl \$0x7,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 07 	\{nf\} shl \$0x7,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 07 	\{nf\} shl \$0x7,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 e2 1f 	\{nf\} shl \$0x1f,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 22 1f 	\{nf\} shl \$0x1f,\(%rdx\),%ecx
 [ 	]*[a-f0-9]+:[ 	]*48 8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%rdx
 [ 	]*[a-f0-9]+:[ 	]*48 8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%rdx
 [ 	]*[a-f0-9]+:[ 	]*48 8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%rbp
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%rsp,%rdx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%rsp,%rsp
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c 01 d2    	\{nf\} add %rdx,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c d1 22    	\{nf\} shl \$1,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 01 c9    	\{nf\} add %rcx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 01 c9    	\{nf\} add %rcx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 e2 02 	\{nf\} shl \$0x2,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 22 02 	\{nf\} shl \$0x2,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 02 	\{nf\} shl \$0x2,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 02 	\{nf\} shl \$0x2,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 e2 06 	\{nf\} shl \$0x6,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 22 06 	\{nf\} shl \$0x6,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 06 	\{nf\} shl \$0x6,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 06 	\{nf\} shl \$0x6,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 e2 07 	\{nf\} shl \$0x7,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 22 07 	\{nf\} shl \$0x7,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 07 	\{nf\} shl \$0x7,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 07 	\{nf\} shl \$0x7,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 e2 1e 	\{nf\} shl \$0x1e,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 69 ca 00 00 00 80 	\{nf\} imul \$0xffffffff80000000,%rdx,%rcx
 #pass
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
@@ -1522,14 +1522,87 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 e4 7d 0c 6b c5 03[ 	]+\{nf\} imul \$0x3,%bp,%r16w
 [ 	]*[a-f0-9]+:[ 	]*62 fc 7d 0c 6b d5 05[ 	]+\{nf\} imul \$0x5,%r21w,%dx
 [ 	]*[a-f0-9]+:[ 	]*62 ec 7d 0c 6b ed 09[ 	]+\{nf\} imul \$0x9,%r21w,%r21w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b ca 02 	\{nf\} imul \$0x2,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b 0a 02 	\{nf\} imul \$0x2,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*66 8d 0c 09[ 	]+lea    \(%rcx,%rcx,1\),%cx
+[ 	]*[a-f0-9]+:[ 	]*66 8d 0c 09[ 	]+lea    \(%rcx,%rcx,1\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c d1 e2    	\{nf\} shl \$1,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c d1 22    	\{nf\} shl \$1,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c d1 e1    	\{nf\} shl \$1,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c d1 e1    	\{nf\} shl \$1,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b ca 04 	\{nf\} imul \$0x4,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b 0a 04 	\{nf\} imul \$0x4,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c9 04 	\{nf\} imul \$0x4,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c9 04 	\{nf\} imul \$0x4,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b ca 04 	\{nf\} imulzu \$0x4,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b 0a 04 	\{nf\} imulzu \$0x4,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b c9 04 	\{nf\} imulzu \$0x4,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b c9 04 	\{nf\} imulzu \$0x4,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b ca 40 	\{nf\} imul \$0x40,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b 0a 40 	\{nf\} imul \$0x40,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c9 40 	\{nf\} imul \$0x40,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c9 40 	\{nf\} imul \$0x40,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b ca 40 	\{nf\} imulzu \$0x40,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b 0a 40 	\{nf\} imulzu \$0x40,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b c9 40 	\{nf\} imulzu \$0x40,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 6b c9 40 	\{nf\} imulzu \$0x40,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 ca 80 00 	\{nf\} imul \$0x80,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 0a 80 00 	\{nf\} imul \$0x80,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 07 	\{nf\} shl \$0x7,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 07 	\{nf\} shl \$0x7,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e2 07 	\{nf\} shl \$0x7,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 22 07 	\{nf\} shl \$0x7,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 07 	\{nf\} shl \$0x7,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 07 	\{nf\} shl \$0x7,%cx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 ca 00 80 	\{nf\} imul \$0x8000,%dx,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 69 0a 00 80 	\{nf\} imul \$0x8000,\(%rdx\),%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 0f 	\{nf\} shl \$0xf,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e1 0f 	\{nf\} shl \$0xf,%cx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 75 1c c1 e1 0f 	\{nf\} shl \$0xf,%cx,%cx
 [ 	]*[a-f0-9]+:[ 	]*8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%edx
 [ 	]*[a-f0-9]+:[ 	]*8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%edx
 [ 	]*[a-f0-9]+:[ 	]*8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%ebp
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%esp,%edx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%esp,%esp
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 12[ 	]+lea    \(%rdx,%rdx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c d1 22    	\{nf\} shl \$1,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 09[ 	]+lea    \(%rcx,%rcx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*8d 0c 09[ 	]+lea    \(%rcx,%rcx,1\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b ca 04 	\{nf\} imul \$0x4,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b 0a 04 	\{nf\} imul \$0x4,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b c9 04 	\{nf\} imul \$0x4,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b c9 04 	\{nf\} imul \$0x4,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b ca 40 	\{nf\} imul \$0x40,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b 0a 40 	\{nf\} imul \$0x40,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b c9 40 	\{nf\} imul \$0x40,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b c9 40 	\{nf\} imul \$0x40,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 e2 07 	\{nf\} shl \$0x7,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 22 07 	\{nf\} shl \$0x7,\(%rdx\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 07 	\{nf\} shl \$0x7,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 07 	\{nf\} shl \$0x7,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 e2 1f 	\{nf\} shl \$0x1f,%edx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 74 1c c1 22 1f 	\{nf\} shl \$0x1f,\(%rdx\),%ecx
 [ 	]*[a-f0-9]+:[ 	]*48 8d 14 49[ 	]+lea    \(%rcx,%rcx,2\),%rdx
 [ 	]*[a-f0-9]+:[ 	]*48 8d 54 ad 00[ 	]+lea    0x0\(%rbp,%rbp,4\),%rdx
 [ 	]*[a-f0-9]+:[ 	]*48 8d 2c c9[ 	]+lea    \(%rcx,%rcx,8\),%rbp
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b d4 03[ 	]+\{nf\} imul \$0x3,%rsp,%rdx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b e4 05[ 	]+\{nf\} imul \$0x5,%rsp,%rsp
+[ 	]*[a-f0-9]+:[ 	]*48 8d 0c 12[ 	]+lea    \(%rdx,%rdx,1\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c d1 22    	\{nf\} shl \$1,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*48 8d 0c 09[ 	]+lea    \(%rcx,%rcx,1\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*48 8d 0c 09[ 	]+lea    \(%rcx,%rcx,1\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b ca 04 	\{nf\} imul \$0x4,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b 0a 04 	\{nf\} imul \$0x4,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b c9 04 	\{nf\} imul \$0x4,%rcx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b c9 04 	\{nf\} imul \$0x4,%rcx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b ca 40 	\{nf\} imul \$0x40,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b 0a 40 	\{nf\} imul \$0x40,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b c9 40 	\{nf\} imul \$0x40,%rcx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 6b c9 40 	\{nf\} imul \$0x40,%rcx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 e2 07 	\{nf\} shl \$0x7,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 22 07 	\{nf\} shl \$0x7,\(%rdx\),%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 07 	\{nf\} shl \$0x7,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c c1 e1 07 	\{nf\} shl \$0x7,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 f4 1c c1 e2 1e 	\{nf\} shl \$0x1e,%rdx,%rcx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c 69 ca 00 00 00 80 	\{nf\} imul \$0xffffffff80000000,%rdx,%rcx
 #pass
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -419,21 +419,21 @@ imul, 0xfaf, i386, Modrm|CheckOperandSiz
 imul, 0xaf, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0x6b, i186, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0x6b, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF|Optimize, { Imm8S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
-imulzu, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|NF|ZU, { Imm8S, Reg16|Unspecified|BaseIndex, Reg16 }
+imulzu, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|NF|ZU|Optimize, { Imm8S, Reg16|Unspecified|BaseIndex, Reg16 }
 imul, 0x69, i186, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
-imul, 0x69, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
-imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|NF|ZU, { Imm16, Reg16|Unspecified|BaseIndex, Reg16 }
+imul, 0x69, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF|Optimize, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
+imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|EVexMap4|NF|ZU|Optimize, { Imm16, Reg16|Unspecified|BaseIndex, Reg16 }
 // imul with 2 operands mimics imul with 3 by putting the register in
 // both i.rm.reg & i.rm.regmem fields.  RegKludge enables this
 // transformation.
 imul, 0x6b, i186, Modrm|No_bSuf|No_sSuf|RegKludge, { Imm8S, Reg16|Reg32|Reg64 }
 imul, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF|Optimize, { Imm8S, Reg16|Reg32|Reg64 }
 imul, 0x69, i186, Modrm|No_bSuf|No_sSuf|RegKludge, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64 }
-imul, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64 }
+imul, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF|Optimize, { Imm16|Imm32|Imm32S, Reg16|Reg32|Reg64 }
 // ZU is omitted here, for colliding with RegKludge.  process_operands() will
 // replace the constraint value after processing RegKludge.
-imulzu, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF/*|ZU*/, { Imm8S, Reg16 }
-imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF/*|ZU*/, { Imm16, Reg16 }
+imulzu, 0x6b, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF/*|ZU*/|Optimize, { Imm8S, Reg16 }
+imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sSuf|RegKludge|EVexMap4|NF/*|ZU*/|Optimize, { Imm16, Reg16 }
 
 <mul>
 


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 7/8] x86/APX: optimize certain {nf}-form insns to BMI2 ones
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
                   ` (5 preceding siblings ...)
  2024-06-21 12:52 ` [PATCH v2 6/8] x86/APX: optimize {nf}-form IMUL-by-power-of-2 to SHL Jan Beulich
@ 2024-06-21 12:53 ` Jan Beulich
  2024-06-21 12:53 ` [PATCH v2 8/8] x86/APX: apply NDD-to-legacy transformation to further CMOVcc forms Jan Beulich
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:53 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

..., as those leave EFLAGS untouched anyway. That's a shorter encoding,
available as long as no eGPR is in use anywhere.
---
RFC: Especially because of the need to explicitly enable BMI2 this may
     be deemed not worth it; seeking views.
---
v2: Move logic to optimize_nf_encoding(). Re-base over addition of new
    earlier patches.

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -5725,6 +5725,113 @@ optimize_nf_encoding (void)
       i.imm_operands = 0;
       i.has_nf = false;
     }
+  else if (cpu_arch_isa_flags.bitfield.cpubmi2
+	   && i.encoding == encoding_default
+	   && (i.operands > 2 || !i.mem_operands)
+	   && (i.types[i.operands - 1].bitfield.dword
+	       || i.types[i.operands - 1].bitfield.qword))
+    {
+      if (i.tm.base_opcode == 0xd2)
+	{
+	  /* Optimize: -O:
+	       <OP> one of sal, sar, shl, shr:
+	       {nf} <OP> %cl, %rN       -> <OP>x %{e,r}cx, %rN, %rN (N < 16)
+	       {nf} <OP> %cl, ..., %rN  -> <OP>x %{e,r}cx, ..., %rN (no eGPR used)
+	   */
+	  gas_assert (i.tm.extension_opcode & 4);
+	  i.tm.operand_types[0] = i.tm.operand_types[i.operands - 1];
+	  /* NB: i.op[0].regs specifying %cl is good enough.  */
+	  i.types[0] = i.types[i.operands - 1];
+	  if (i.operands == 2)
+	    {
+	      i.tm.operand_types[0].bitfield.baseindex = 0;
+	      i.tm.operand_types[2] = i.tm.operand_types[0];
+	      i.op[2].regs = i.op[1].regs;
+	      i.types[2] = i.types[1];
+	      i.reg_operands = i.operands = 3;
+	    }
+	  i.has_nf = false;
+	  i.tm.opcode_modifier.w = 0;
+	  i.tm.opcode_modifier.evex = 0;
+	  i.tm.opcode_modifier.vex = VEX128;
+	  i.tm.opcode_modifier.vexvvvv = VexVVVV_SRC2;
+	  i.tm.opcode_space = SPACE_0F38;
+	  i.tm.base_opcode = 0xf7;
+	  i.tm.opcode_modifier.opcodeprefix
+	    = !(i.tm.extension_opcode & 1)
+	      ? PREFIX_0X66 /* shlx */
+	      : i.tm.extension_opcode & 2
+		? PREFIX_0XF3 /* sarx */
+		: PREFIX_0XF2 /* shrx */;
+	  i.tm.extension_opcode = None;
+	}
+      else if (i.tm.base_opcode == 0xc0
+	       && i.tm.extension_opcode <= 1
+	       && i.op[0].imms->X_op == O_constant)
+	{
+	  /* Optimize: -O:
+	       {nf} rol $I, %rN       -> rorx $osz-I, %rN, %rN (I != osz-1, N < 16)
+	       {nf} rol $I, ..., %rN  -> rorx $osz-I, ..., %rN (I != osz-1, no eGPR used)
+	       {nf} ror $I, %rN       -> rorx $I, %rN, %rN (I != 1, N < 16)
+	       {nf} ror $I, ..., %rN  -> rorx $I,..., %rN (I != 1, no eGPR used)
+	     NB: rol -> ror transformation for I == osz-1 was already handled above.
+	     NB2: ror with an immediate of 1 uses a different base opcode.
+	   */
+	  if (i.operands == 2)
+	    {
+	      i.tm.operand_types[2] = i.tm.operand_types[1];
+	      i.tm.operand_types[2].bitfield.baseindex = 0;
+	      i.op[2].regs = i.op[1].regs;
+	      i.types[2] = i.types[1];
+	      i.reg_operands = 2;
+	      i.operands = 3;
+	    }
+	  i.has_nf = false;
+	  i.tm.opcode_modifier.w = 0;
+	  i.tm.opcode_modifier.evex = 0;
+	  i.tm.opcode_modifier.vex = VEX128;
+	  i.tm.opcode_modifier.vexvvvv = 0;
+	  i.tm.opcode_space = SPACE_0F3A;
+	  i.tm.base_opcode = 0xf0;
+	  i.tm.opcode_modifier.opcodeprefix = PREFIX_0XF2;
+	  if (!i.tm.extension_opcode)
+	    i.op[0].imms->X_add_number =
+	      (i.types[i.operands - 1].bitfield.byte
+	       ? 8 : i.types[i.operands - 1].bitfield.word
+		     ? 16 : 64 >> i.types[i.operands - 1].bitfield.dword)
+	      - i.op[0].imms->X_add_number;
+	  i.tm.extension_opcode = None;
+	}
+      else if (i.tm.base_opcode == 0xf6
+	       && i.tm.extension_opcode == 4
+	       && !i.mem_operands
+	       && i.op[0].regs->reg_num == 2
+	       && !(i.op[0].regs->reg_flags & RegRex) )
+	{
+	  /* Optimize: -O:
+	       {nf} mul %edx  -> mulx %eax, %eax, %edx
+	       {nf} mul %rdx  -> mulx %rax, %rax, %rdx
+	   */
+	  i.tm.operand_types[1] = i.tm.operand_types[0];
+	  i.tm.operand_types[1].bitfield.baseindex = 0;
+	  i.tm.operand_types[2] = i.tm.operand_types[1];
+	  i.op[2].regs = i.op[0].regs;
+	  /* NB: %eax is good enough also for 64-bit operand size.  */
+	  i.op[1].regs = i.op[0].regs = reg_eax;
+	  i.types[2] = i.types[1] = i.types[0];
+	  i.reg_operands = i.operands = 3;
+
+	  i.has_nf = false;
+	  i.tm.opcode_modifier.w = 0;
+	  i.tm.opcode_modifier.evex = 0;
+	  i.tm.opcode_modifier.vex = VEX128;
+	  i.tm.opcode_modifier.vexvvvv = VexVVVV_SRC1;
+	  i.tm.opcode_space = SPACE_0F38;
+	  i.tm.base_opcode = 0xf6;
+	  i.tm.opcode_modifier.opcodeprefix = PREFIX_0XF2;
+	  i.tm.extension_opcode = None;
+	}
+    }
 }
 
 static void
--- a/gas/testsuite/gas/i386/x86-64.exp
+++ b/gas/testsuite/gas/i386/x86-64.exp
@@ -395,6 +395,7 @@ run_dump_test "x86-64-apx-nf"
 run_dump_test "x86-64-apx-nf-intel"
 run_dump_test "x86-64-apx-nf-optimize"
 run_dump_test "x86-64-apx-nf-optimize-size"
+run_dump_test "x86-64-apx-nf-optimize-BMI2"
 run_dump_test "x86-64-apx-zu"
 run_dump_test "x86-64-apx-zu-intel"
 run_list_test "x86-64-apx-zu-inval"
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.d
@@ -212,7 +212,7 @@ Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul %bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul %dx
 \s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul %ecx
-\s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul %r9
+\s*[a-f0-9]+:\s*62 f4 fc 0c f7 e2\s+\{nf\} mul %rdx
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mulb 0x123\(%r8,%rax,4\)
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mulw 0x123\(%r8,%rax,4\)
 \s*[a-f0-9]+:\s*62 d4 7c 0c f7 a4 80 23 01 00 00\s+\{nf\} mull 0x123\(%r8,%rax,4\)
@@ -892,7 +892,7 @@ Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 54 fc 0c f5 8c 80 23 01 00 00\s+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul %bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul %dx
-\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul %ecx
+\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e2\s+\{nf\} mul %edx
 \s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul %r9
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mulb 0x123\(%r8,%rax,4\)
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mulw 0x123\(%r8,%rax,4\)
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.s
@@ -207,7 +207,7 @@ _start:
 	{nf}	mul	%bl
 	{nf}	mul	%dx
 	{nf}	mul	%ecx
-	{nf}	mul	%r9
+	{nf}	mul	%rdx
 	{nf}	mulb	291(%r8, %rax, 4)
 	{nf}	mulw	291(%r8, %rax, 4)
 	{nf}	mull	291(%r8, %rax, 4)
@@ -888,7 +888,7 @@ intel:
 	{nf}	lzcnt	r9, QWORD PTR [r8+rax*4+291]
 	{nf}	mul	bl
 	{nf}	mul	dx
-	{nf}	mul	ecx
+	{nf}	mul	edx
 	{nf}	mul	r9
 	{nf}	mul	BYTE PTR [r8+rax*4+291]
 	{nf}	mul	WORD PTR [r8+rax*4+291]
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-intel.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-intel.d
@@ -212,7 +212,7 @@ Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul dx
 \s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul ecx
-\s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul r9
+\s*[a-f0-9]+:\s*62 f4 fc 0c f7 e2\s+\{nf\} mul rdx
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mul BYTE PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mul WORD PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 d4 7c 0c f7 a4 80 23 01 00 00\s+\{nf\} mul DWORD PTR \[r8\+rax\*4\+0x123\]
@@ -892,7 +892,7 @@ Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 54 fc 0c f5 8c 80 23 01 00 00\s+\{nf\} lzcnt r9,QWORD PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul dx
-\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul ecx
+\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e2\s+\{nf\} mul edx
 \s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul r9
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mul BYTE PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mul WORD PTR \[r8\+rax\*4\+0x123\]
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
@@ -212,7 +212,7 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
-[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c f7 e2[ 	]+\{nf\} mul %rdx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
@@ -892,7 +892,7 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
-[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e2[ 	]+\{nf\} mul %edx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
--- /dev/null
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-BMI2.d
@@ -0,0 +1,1385 @@
+#as: -O -march=+bmi2
+#objdump: -dw
+#name: x86_64 APX_F insns with nf pseudo prefix, -O, and BMI2
+#source: x86-64-apx-nf.s
+
+.*: +file format .*
+
+Disassembly of section \.text:
+
+0+ <_start>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d0[ 	]+\{nf\} add %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 ca[ 	]+\{nf\} add %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 01 ca[ 	]+\{nf\} add %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 01 cf[ 	]+\{nf\} add %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 01 cf[ 	]+\{nf\} add %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 7b[ 	]+\{nf\} imul \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d1 7b[ 	]+\{nf\} imul \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b f9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b c9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 90[ 	]+\{nf\} imul \$0xff90,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 69 d1 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 f9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 c9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 90[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 fb f6 d0[ 	]+mulx[ 	]+%rax,%rax,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 c5[ 	]+rorx[ 	]+\$0xc5,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 a5[ 	]+rorx[ 	]+\$0xa5,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 c5[ 	]+rorx[ 	]+\$0xc5,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 c9[ 	]+sarx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 d1[ 	]+sarx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 c9[ 	]+sarx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 72 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 c9[ 	]+shrx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 d1[ 	]+shrx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 c9[ 	]+shrx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 73 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <intel>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d0[ 	]+\{nf\} add %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 ca[ 	]+\{nf\} add %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 01 ca[ 	]+\{nf\} add %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 01 cf[ 	]+\{nf\} add %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 01 cf[ 	]+\{nf\} add %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 7b f6 d0[ 	]+mulx[ 	]+%eax,%eax,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 c5[ 	]+rorx[ 	]+\$0xc5,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 a5[ 	]+rorx[ 	]+\$0xa5,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 c5[ 	]+rorx[ 	]+\$0xc5,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 c9[ 	]+sarx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 d1[ 	]+sarx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 c9[ 	]+sarx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 72 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 c9[ 	]+shrx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 d1[ 	]+shrx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 c9[ 	]+shrx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 73 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+#pass
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
@@ -212,7 +212,7 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
-[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c f7 e2[ 	]+\{nf\} mul %rdx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
@@ -892,7 +892,7 @@ Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
-[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e2[ 	]+\{nf\} mul %edx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -410,10 +410,10 @@ cqto, 0x99, x64, Size64|NoSuf, {}
 // expanding 64-bit multiplies, and *cannot* be selected to accomplish
 // 'imul %ebx, %eax' (opcode 0x0faf must be used in this case)
 // These multiplies can only be selected with single operand forms.
-<mul:opc, mul:4, imul:5>
+<mul:opc:opt, mul:4:Optimize, imul:5:>
 
 <mul>, 0xf6/<mul:opc>, 0, W|Modrm|No_sSuf, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<mul>, 0xf6/<mul:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|NF, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<mul>, 0xf6/<mul:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|NF|<mul:opt>, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 imul, 0xaf, APX_F, C|Modrm|CheckOperandSize|No_bSuf|No_sSuf|DstVVVV|EVexMap4|NF, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64, Reg16|Reg32|Reg64 }
 imul, 0xfaf, i386, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0xaf, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
@@ -446,15 +446,15 @@ imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sS
 
 <div>
 
-<sr:opc:imm8:opt1:opti:nf, +
-    rol:0:Imm8|Imm8S::Optimize:NF, +
-    ror:1:Imm8|Imm8S::Optimize:NF, +
-    rcl:2:Imm8:::, +
-    rcr:3:Imm8:::, +
-    sal:4:Imm8:Optimize::NF, +
-    shl:4:Imm8:Optimize::NF, +
-    shr:5:Imm8:::NF, +
-    sar:7:Imm8:::NF>
+<sr:opc:imm8:opt1:opti:optc:nf, +
+    rol:0:Imm8|Imm8S::Optimize::NF, +
+    ror:1:Imm8|Imm8S::Optimize::NF, +
+    rcl:2:Imm8::::, +
+    rcr:3:Imm8::::, +
+    sal:4:Imm8:Optimize::Optimize:NF, +
+    shl:4:Imm8:Optimize::Optimize:NF, +
+    shr:5:Imm8:::Optimize:NF, +
+    sar:7:Imm8:::Optimize:NF>
 
 <sr>, 0xd0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:opt1>|<sr:nf>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xd0/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:opt1>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
@@ -462,9 +462,9 @@ imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sS
 <sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:opti>|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xc0/<sr:opc>, i186, W|Modrm|No_sSuf, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:opti>|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
-<sr>, 0xd2/<sr:opc>, 0, W|Modrm|No_sSuf, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:optc>|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
+<sr>, 0xd2/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:optc>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:optc>|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xd0/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:opt1>, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 
 <sr>


^ permalink raw reply	[flat|nested] 11+ messages in thread

* [PATCH v2 8/8] x86/APX: apply NDD-to-legacy transformation to further CMOVcc forms
  2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
                   ` (6 preceding siblings ...)
  2024-06-21 12:53 ` [PATCH v2 7/8] x86/APX: optimize certain {nf}-form insns to BMI2 ones Jan Beulich
@ 2024-06-21 12:53 ` Jan Beulich
  7 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-21 12:53 UTC (permalink / raw)
  To: Binutils; +Cc: H.J. Lu, Lili Cui, Jiang, Haochen

With both sources being registers, these insns are almost commutative;
the only extra adjustment needed is inversion of the encoded condition.
---
Down the road the same will want doing for register-only 3-operand
CFCMOVcc, just that there it'll likely be less desirable to re-use the
NDD-to-legacy logic.
---
v2: New.

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -456,6 +456,9 @@ struct _i386_insn
     /* Disable instruction size optimization.  */
     bool no_optimize;
 
+    /* Invert the condition encoded in a base opcode.  */
+    bool invert_cond;
+
     /* How to encode instructions.  */
     enum
       {
@@ -3918,6 +3921,11 @@ install_template (const insn_template *t
       i.tm.base_opcode >>= 8;
     }
 
+  /* For CMOVcc having undergone NDD-to-legacy optimization with its source
+     operands being swapped, we need to invert the encoded condition.  */
+  if (i.invert_cond)
+    i.tm.base_opcode ^= 1;
+
   /* Note that for pseudo prefixes this produces a length of 1. But for them
      the length isn't interesting at all.  */
   for (l = 1; l < 4; ++l)
@@ -9952,7 +9960,14 @@ match_template (char mnem_suffix)
 			  && !i.op[i.operands - 1].regs->reg_type.bitfield.qword)))
 		{
 		  if (i.operands > 2 && match_dest_op == i.operands - 3)
-		    swap_2_operands (match_dest_op, i.operands - 2);
+		    {
+		      swap_2_operands (match_dest_op, i.operands - 2);
+
+		      /* CMOVcc is marked commutative, but then also needs its
+			 encoded condition inverted.  */
+		      if ((t->base_opcode | 0xf) == 0x4f)
+			i.invert_cond = true;
+		    }
 
 		  --i.operands;
 		  --i.reg_operands;
--- a/gas/testsuite/gas/i386/x86-64-apx-ndd-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-ndd-optimize.d
@@ -118,6 +118,22 @@ Disassembly of section .text:
 \s*[a-f0-9]+:\s*67 0f 4d 90 90 90 90 90 	cmovge -0x6f6f6f70\(%eax\),%edx
 \s*[a-f0-9]+:\s*67 0f 4e 90 90 90 90 90 	cmovle -0x6f6f6f70\(%eax\),%edx
 \s*[a-f0-9]+:\s*67 0f 4f 90 90 90 90 90 	cmovg  -0x6f6f6f70\(%eax\),%edx
+\s*[a-f0-9]+:\s*0f 41 d1             	cmovno %ecx,%edx
+\s*[a-f0-9]+:\s*0f 40 d1             	cmovo  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 43 d1             	cmovae %ecx,%edx
+\s*[a-f0-9]+:\s*0f 42 d1             	cmovb  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 45 d1             	cmovne %ecx,%edx
+\s*[a-f0-9]+:\s*0f 44 d1             	cmove  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 47 d1             	cmova  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 46 d1             	cmovbe %ecx,%edx
+\s*[a-f0-9]+:\s*0f 49 d1             	cmovns %ecx,%edx
+\s*[a-f0-9]+:\s*0f 48 d1             	cmovs  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 4b d1             	cmovnp %ecx,%edx
+\s*[a-f0-9]+:\s*0f 4a d1             	cmovp  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 4d d1             	cmovge %ecx,%edx
+\s*[a-f0-9]+:\s*0f 4c d1             	cmovl  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 4f d1             	cmovg  %ecx,%edx
+\s*[a-f0-9]+:\s*0f 4e d1             	cmovle %ecx,%edx
 \s*[a-f0-9]+:\s*62 f4 7d 08 60 c0    	movbe  %ax,%ax
 \s*[a-f0-9]+:\s*49 0f c8             	bswap  %r8
 \s*[a-f0-9]+:\s*d5 98 c8             	bswap  %r16
--- a/gas/testsuite/gas/i386/x86-64-apx-ndd-optimize.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-ndd-optimize.s
@@ -112,6 +112,22 @@ cmovl  0x90909090(%eax),%edx,%edx
 cmovge 0x90909090(%eax),%edx,%edx
 cmovle 0x90909090(%eax),%edx,%edx
 cmovg  0x90909090(%eax),%edx,%edx
+cmovo  %edx,%ecx,%edx
+cmovno %edx,%ecx,%edx
+cmovc  %edx,%ecx,%edx
+cmovnc %edx,%ecx,%edx
+cmovz  %edx,%ecx,%edx
+cmovnz %edx,%ecx,%edx
+cmovna %edx,%ecx,%edx
+cmovnbe %edx,%ecx,%edx
+cmovs  %edx,%ecx,%edx
+cmovns %edx,%ecx,%edx
+cmovpe %edx,%ecx,%edx
+cmovpo %edx,%ecx,%edx
+cmovnge %edx,%ecx,%edx
+cmovnl %edx,%ecx,%edx
+cmovng %edx,%ecx,%edx
+cmovnle %edx,%ecx,%edx
 movbe  %ax,%ax
 movbe  %r8,%r8
 movbe  %r16,%r16
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -985,7 +985,10 @@ ud2b, 0xfb9, i186, Modrm|CheckOperandSiz
 // 3rd official undefined instr (older CPUs don't take a ModR/M byte)
 ud0, 0xfff, i186, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 
-cmov<cc>, 0x4<cc:opc>, CMOV&APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|DstVVVV|EVexMap4, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64, Reg16|Reg32|Reg64 }
+// C (commutative) isn't quite correct here on its own; the condition also
+// needs inverting when source operands are swapped in order to convert to
+// legacy encoding.  The assembler will take care of that.
+cmov<cc>, 0x4<cc:opc>, CMOV&APX_F, C|Modrm|CheckOperandSize|No_bSuf|No_sSuf|DstVVVV|EVexMap4|Optimize, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64, Reg16|Reg32|Reg64 }
 cmov<cc>, 0xf4<cc:opc>, CMOV, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 
 fcmovb, 0xda/0, i687, Modrm|NoSuf, { FloatReg, FloatAcc }


^ permalink raw reply	[flat|nested] 11+ messages in thread

* RE: [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates
  2024-06-21 12:49 ` [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates Jan Beulich
@ 2024-06-28  8:28   ` Cui, Lili
  2024-06-28  8:32     ` Jan Beulich
  0 siblings, 1 reply; 11+ messages in thread
From: Cui, Lili @ 2024-06-28  8:28 UTC (permalink / raw)
  To: Beulich, Jan, Binutils; +Cc: H.J. Lu, Jiang, Haochen

> Unlike for the legacy forms, where there's a difference in the resulting
> EFLAGS, for the NF variants we can safely replace ones using 0x80 by the
> respectively other insn while negating the immediate, saving 3 immediate
> bytes (just 1 though for 16-bit operand size). Similarly we can replace
> ones using 1 / -1 by INC/DEC (eliminating the immediate).
> ---
> v2: Also convert immediates of $1 / $-1 to INC/DEC. Move logic to
>     separate function.
> 
> --- a/gas/config/tc-i386.c
> +++ b/gas/config/tc-i386.c
> @@ -5327,6 +5327,84 @@ optimize_encoding (void)
>      }
>  }
> 
> +/* Try to shorten {nf} encodings, by shortening operand size or switching to
> +   functionally identical encodings.  */
> +
> +static void
> +optimize_nf_encoding (void)
> +{
> +  if (i.tm.base_opcode == 0x80
> +      && (i.tm.extension_opcode == 0 || i.tm.extension_opcode == 5)
> +      && i.suffix != BYTE_MNEM_SUFFIX
> +      && !i.types[1].bitfield.byte
> +      && !i.types[2].bitfield.byte
> +      && i.op[0].imms->X_op == O_constant
> +      && i.op[0].imms->X_add_number == 0x80)
> +    {
> +      /* Optimize: -O:
> +	   {nf} addw $0x80, ...  -> {nf} subw $-0x80, ...
> +	   {nf} addl $0x80, ...  -> {nf} subl $-0x80, ...
> +	   {nf} addq $0x80, ...  -> {nf} subq $-0x80, ...
> +
> +	   {nf} subw $0x80, ...  -> {nf} addw $-0x80, ...
> +	   {nf} subl $0x80, ...  -> {nf} addl $-0x80, ...
> +	   {nf} subq $0x80, ...  -> {nf} addq $-0x80, ...
> +       */
> +      i.tm.base_opcode |= 3;
> +      i.tm.extension_opcode ^= 5;
> +      i.tm.opcode_modifier.w = 0;
> +      i.op[0].imms->X_add_number = -i.op[0].imms->X_add_number;
> +
> +      i.tm.operand_types[0].bitfield.imm8 = 0;
> +      i.tm.operand_types[0].bitfield.imm8s = 1;
> +      i.tm.operand_types[0].bitfield.imm16 = 0;
> +      i.tm.operand_types[0].bitfield.imm32 = 0;
> +      i.tm.operand_types[0].bitfield.imm32s = 0;
> +
> +      i.types[0] = i.tm.operand_types[0];
> +    }
> +  else if ((i.tm.base_opcode | 3) == 0x83
> +      && (i.tm.extension_opcode == 0 || i.tm.extension_opcode == 5)
> +      && i.op[0].imms->X_op == O_constant
> +      && (i.op[0].imms->X_add_number == 1
> +	  || i.op[0].imms->X_add_number == -1
> +	  /* While for wider than byte operations immediates were suitably
> +	     adjusted earlier on, 0xff in the byte case needs covering
> +	     explicitly.  */
> +	  || (i.op[0].imms->X_add_number == 0xff
> +	      && (i.suffix == BYTE_MNEM_SUFFIX
> +		  || i.types[i.operands - 1].bitfield.byte))))
> +    {
> +      /* Optimize: -O:
> +	   {nf} add $1, ...        -> {nf} inc ...
> +	   {nf} add $-1, ...       -> {nf} dec ...
> +	   {nf} add $0xf...f, ...  -> {nf} dec ...
> +
> +	   {nf} sub $1, ...        -> {nf} dec ...
> +	   {nf} sub $-1, ...       -> {nf} inc ...
> +	   {nf} sub $0xf...f, ...  -> {nf} inc ...
> +       */
> +      i.tm.base_opcode = 0xfe;
> +      i.tm.extension_opcode
> +	= (i.op[0].imms->X_add_number == 1) != (i.tm.extension_opcode ==
> 0);
> +      i.tm.opcode_modifier.w = 1;
> +
> +      i.types[0] = i.types[1];
> +      i.types[1] = i.types[2];
> +      i.tm.operand_types[0] = i.tm.operand_types[1];
> +      i.tm.operand_types[1] = i.tm.operand_types[2];
> +      i.op[0] = i.op[1];
> +      i.op[1] = i.op[2];
> +      i.flags[0] = i.flags[1];
> +      i.flags[1] = i.flags[2];
> +      i.reloc[0] = i.reloc[1];
> +      i.reloc[1] = NO_RELOC;
> +
> +      i.imm_operands = 0;
> +      --i.operands;
> +    }
> +}
> +
>  static void
>  s_noopt (int dummy ATTRIBUTE_UNUSED)
>  {
> @@ -7206,7 +7284,11 @@ md_assemble (char *line)
>      }
> 
>    if (optimize && !i.no_optimize && i.tm.opcode_modifier.optimize)
> -    optimize_encoding ();
> +    {
> +      if (i.has_nf)
> +	optimize_nf_encoding ();
> +      optimize_encoding ();
> +    }
> 

I spent some time to understand these optimizations and found that the conversion between them is very clever. This patch is very interesting.

By the way, I think from the name, optimize_encoding includes optimize_nf_encoding, how about moving optimize_nf_encoding into the function optimize_encoding?

Lili.


^ permalink raw reply	[flat|nested] 11+ messages in thread

* Re: [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates
  2024-06-28  8:28   ` Cui, Lili
@ 2024-06-28  8:32     ` Jan Beulich
  0 siblings, 0 replies; 11+ messages in thread
From: Jan Beulich @ 2024-06-28  8:32 UTC (permalink / raw)
  To: Cui, Lili; +Cc: H.J. Lu, Jiang, Haochen, Binutils

On 28.06.2024 10:28, Cui, Lili wrote:
>> @@ -7206,7 +7284,11 @@ md_assemble (char *line)
>>      }
>>
>>    if (optimize && !i.no_optimize && i.tm.opcode_modifier.optimize)
>> -    optimize_encoding ();
>> +    {
>> +      if (i.has_nf)
>> +	optimize_nf_encoding ();
>> +      optimize_encoding ();
>> +    }
>>
> 
> I spent some time to understand these optimizations and found that the conversion between them is very clever. This patch is very interesting.
> 
> By the way, I think from the name, optimize_encoding includes optimize_nf_encoding, how about moving optimize_nf_encoding into the function optimize_encoding?

That's an option, yes. Initially my plan was to have instead of what is in
context above

      if (i.has_nf)
	optimize_nf_encoding ();
      else
	optimize_encoding ();

But that didn't work out, yet I left the code structure close to the original.

Jan

^ permalink raw reply	[flat|nested] 11+ messages in thread

end of thread, other threads:[~2024-06-28  8:32 UTC | newest]

Thread overview: 11+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2024-06-21 12:47 [PATCH v2 0/8] x86: a few more optimizations Jan Beulich
2024-06-21 12:49 ` [PATCH v2 1/8] x86/APX: optimize {nf} forms of ADD/SUB with specific immediates Jan Beulich
2024-06-28  8:28   ` Cui, Lili
2024-06-28  8:32     ` Jan Beulich
2024-06-21 12:49 ` [PATCH v2 2/8] x86/APX: optimize {nf}-form rotate-by-width-less-1 Jan Beulich
2024-06-21 12:50 ` [PATCH v2 3/8] x86/APX: optimize certain {nf}-form insns to LEA Jan Beulich
2024-06-21 12:51 ` [PATCH v2 4/8] x86-64: restrict by-imm31 optimization Jan Beulich
2024-06-21 12:51 ` [PATCH v2 5/8] x86/APX: extend TEST-by-imm7 optimization to CTESTcc Jan Beulich
2024-06-21 12:52 ` [PATCH v2 6/8] x86/APX: optimize {nf}-form IMUL-by-power-of-2 to SHL Jan Beulich
2024-06-21 12:53 ` [PATCH v2 7/8] x86/APX: optimize certain {nf}-form insns to BMI2 ones Jan Beulich
2024-06-21 12:53 ` [PATCH v2 8/8] x86/APX: apply NDD-to-legacy transformation to further CMOVcc forms Jan Beulich

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for read-only IMAP folder(s) and NNTP newsgroup(s).