From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: from mail-oa1-x36.google.com (mail-oa1-x36.google.com [IPv6:2001:4860:4864:20::36]) by sourceware.org (Postfix) with ESMTPS id 5E1863858D32 for ; Fri, 12 Jan 2024 00:49:03 +0000 (GMT) DMARC-Filter: OpenDMARC Filter v1.4.2 sourceware.org 5E1863858D32 Authentication-Results: sourceware.org; dmarc=none (p=none dis=none) header.from=rivosinc.com Authentication-Results: sourceware.org; spf=pass smtp.mailfrom=rivosinc.com ARC-Filter: OpenARC Filter v1.0.0 sourceware.org 5E1863858D32 Authentication-Results: server2.sourceware.org; arc=none smtp.remote-ip=2001:4860:4864:20::36 ARC-Seal: i=1; a=rsa-sha256; d=sourceware.org; s=key; t=1705020547; cv=none; b=dKJRuJDw91QCwarjojFuAsJRiQ9hOoXtVXRUix8Xo5DJ6ddeF6ymJqA8lYPP/ssmNZT6nn3rGl0UqFPkRWYDsgPkjQVhagyjYElgoCHzjvcexJlIXErxKPsWTnBmjIDba43IQppy4hhRQrkMOw9yPM1lhsCpFYfDw03A3iMM/Xc= ARC-Message-Signature: i=1; a=rsa-sha256; d=sourceware.org; s=key; t=1705020547; c=relaxed/simple; bh=7FT3f3owNNr+CR69KUSqtgy+eSuDkbTkA9lDZ5VmxBM=; h=DKIM-Signature:MIME-Version:From:Date:Message-ID:Subject:To; b=OMPrvjeTfQF/UPEbM94oN2z3PQWBj3UhVEYWhICvBdywh0AlTv8M1KOysBT9uGEv+qDTvwiy1Gno6bBE4m5mjPkWZ8MnleE/5JSeSAFyfKgo3+iSIrCCCN/i9z0AvT2Ig9BDBJPZGYFvWZwNXKf2II9gtKZGo5zZyKhmNUAwqBI= ARC-Authentication-Results: i=1; server2.sourceware.org Received: by mail-oa1-x36.google.com with SMTP id 586e51a60fabf-203fed05a31so3657065fac.0 for ; Thu, 11 Jan 2024 16:49:03 -0800 (PST) DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=rivosinc-com.20230601.gappssmtp.com; s=20230601; t=1705020542; x=1705625342; darn=sourceware.org; h=cc:to:subject:message-id:date:from:in-reply-to:references :mime-version:from:to:cc:subject:date:message-id:reply-to; bh=aXi19LXVBawexbRx7r9guxjopD8xylx3ct9OaFTF4aE=; b=1VbMiX1OGk1xCPu7jGVtcX5gu96J0kacW10UcR4u6V+JjqgZT4gsPSRoWP5mfMA7VQ /aIkxLGILSEdlOgDkD1N+X4CstTQipFhPz8XxwTGFiUaNf1mY/z7aPqzRGaO/f1G+IDZ +hf8oAXBqKQYr7N83va5Is3uStDOn2aFp2JFsh3LijgHMTPG2eaArO3oeZCSS0jlpzwS UDEwNeOGpRCD2qxPIjuOJifYiorKHxTvQdwjs1m3pOKGZuP72atM84z42ZoadUZk66Fz 4gn1eOrlTHWhs4MiIJPBWq2j/l+EK+MKKL9T1tgcSp54P2bzV3fXGIjAJBRYi9pswBTB J4Og== X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20230601; t=1705020542; x=1705625342; h=cc:to:subject:message-id:date:from:in-reply-to:references :mime-version:x-gm-message-state:from:to:cc:subject:date:message-id :reply-to; bh=aXi19LXVBawexbRx7r9guxjopD8xylx3ct9OaFTF4aE=; b=VXgEPI1XGi3Fo0FSiJqKBBgwPRoq5z9g6XV6aq2JpB+vOJoTILgNH7K5d2q8BZyEsO DQYseugyGn1uTrVmwYit5cI7iS71TlOoXdOkDO9UGxU3QhIQzHiHyYx9unJjXl5PEbqP mj0tYEKBNR2K15YSArgRGYTlS7x7ocSKmbbZkc6tg/7NLZdvkIIkIXcQHNfpwfSx00BD zwupKQwusZR7f7mm/0zEZf/cTLq3IPcZNXA8TPEEMAA0rGKkcuZ471uL+Zeasx3Akxa1 g+IyOgXQAUdjDtvJK9bYSWm0dzg7fX7dLn8EKfvW3BLTZwiaTc1Ai2ON7NDiwnDtjTgr hhmQ== X-Gm-Message-State: AOJu0YwbYNhBEMkcnRILjhnQ5K1o1kfGv4OBvLg1gKCtIsBI4JEfGz7z pDvFpm/BYWAfVsbdUtYg3xn6GkDeFPM5s3+wYFJDR0aAV4C4qdagL33UhVdauvo= X-Google-Smtp-Source: AGHT+IH0Wk4xs5wX/MktHwUrXKA2Lb0lNLFlLfEQQP1OgedxuuF6pRHTksPgQSXjkmmf0k/9rtZ8jKbjZjEvSihLjGE= X-Received: by 2002:a05:6870:5ba3:b0:206:7fd1:bd30 with SMTP id em35-20020a0568705ba300b002067fd1bd30mr703826oab.82.1705020542674; Thu, 11 Jan 2024 16:49:02 -0800 (PST) MIME-Version: 1.0 References: <20231211114500.3695548-1-mary.bennett@embecosm.com> <20240108132432.901738-1-mary.bennett@embecosm.com> <20240108132432.901738-2-mary.bennett@embecosm.com> In-Reply-To: <20240108132432.901738-2-mary.bennett@embecosm.com> From: Nelson Chu Date: Fri, 12 Jan 2024 08:48:51 +0800 Message-ID: Subject: Re: [PATCH v3 1/3] RISC-V: Add support for XCVelw extension in CV32E40P To: Mary Bennett Cc: binutils@sourceware.org Content-Type: multipart/alternative; boundary="000000000000c7fbc8060eb50759" X-Spam-Status: No, score=-9.4 required=5.0 tests=BAYES_00,DKIM_SIGNED,DKIM_VALID,GIT_PATCH_0,HTML_MESSAGE,RCVD_IN_DNSWL_NONE,SPF_HELO_NONE,SPF_PASS,TXREP,T_SCC_BODY_TEXT_LINE autolearn=ham autolearn_force=no version=3.4.6 X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on server2.sourceware.org List-Id: --000000000000c7fbc8060eb50759 Content-Type: text/plain; charset="UTF-8" Content-Transfer-Encoding: quoted-printable On Mon, Jan 8, 2024 at 9:25=E2=80=AFPM Mary Bennett wrote: > Spec: > https://docs.openhwgroup.org/projects/cv32e40p-user-manual/en/latest/inst= ruction_set_extensions.html > > Contributors: > Mary Bennett > Nandni Jamnadas > Pietra Ferreira > Charlie Keaney > Jessica Mills > Craig Blackmore > Simon Cook > Jeremy Bennett > Helene Chelin > > bfd/ChangeLog: > > * elfxx-riscv.c (riscv_multi_subset_supports): Add `xcvelw` > instruction class. > (riscv_multi_subset_supports_ext): Likewise. > > gas/ChangeLog: > > * doc/c-riscv.texi: Note XCVelw as an additional ISA extension > for CORE-V. > * testsuite/gas/riscv/cv-elw-fail.d: New test. > * testsuite/gas/riscv/cv-elw-fail.l: New test. > * testsuite/gas/riscv/cv-elw-fail.s: New test. > * testsuite/gas/riscv/cv-elw-fail-march.d: New test. > * testsuite/gas/riscv/cv-elw-fail-march.l: New test. > * testsuite/gas/riscv/cv-elw-fail-march.s: New test. > * testsuite/gas/riscv/cv-elw-pass.d: New test. > * testsuite/gas/riscv/cv-elw-pass.s: New test. > > opcodes/ChangeLog: > > * riscv-opc.c: (riscv_opcode) Add event load instructions. > > include/ChangeLog: > > * opcode/riscv-opc.h: Add corresponding MATCH and MASK > instruction opcode macros. > * opcode/riscv.h (riscv_insn_class): Add INSN_CLASS_XCVELW. > --- > bfd/elfxx-riscv.c | 5 +++ > gas/doc/c-riscv.texi | 5 +++ > gas/testsuite/gas/riscv/cv-elw-fail-march.d | 3 ++ > gas/testsuite/gas/riscv/cv-elw-fail-march.l | 38 +++++++++++++++++ > gas/testsuite/gas/riscv/cv-elw-fail-march.s | 42 +++++++++++++++++++ > gas/testsuite/gas/riscv/cv-elw-fail.d | 3 ++ > gas/testsuite/gas/riscv/cv-elw-fail.l | 5 +++ > gas/testsuite/gas/riscv/cv-elw-fail.s | 8 ++++ > gas/testsuite/gas/riscv/cv-elw-pass.d | 46 +++++++++++++++++++++ > gas/testsuite/gas/riscv/cv-elw-pass.s | 42 +++++++++++++++++++ > include/opcode/riscv-opc.h | 3 ++ > include/opcode/riscv.h | 1 + > opcodes/riscv-opc.c | 3 ++ > 13 files changed, 204 insertions(+) > create mode 100644 gas/testsuite/gas/riscv/cv-elw-fail-march.d > create mode 100644 gas/testsuite/gas/riscv/cv-elw-fail-march.l > create mode 100644 gas/testsuite/gas/riscv/cv-elw-fail-march.s > create mode 100644 gas/testsuite/gas/riscv/cv-elw-fail.d > create mode 100644 gas/testsuite/gas/riscv/cv-elw-fail.l > create mode 100644 gas/testsuite/gas/riscv/cv-elw-fail.s > create mode 100644 gas/testsuite/gas/riscv/cv-elw-pass.d > create mode 100644 gas/testsuite/gas/riscv/cv-elw-pass.s > > diff --git a/bfd/elfxx-riscv.c b/bfd/elfxx-riscv.c > index 9a121b47121..b8e64a17da0 100644 > --- a/bfd/elfxx-riscv.c > +++ b/bfd/elfxx-riscv.c > @@ -1369,6 +1369,7 @@ static struct riscv_supported_ext > riscv_supported_vendor_x_ext[] =3D > { > {"xcvmac", ISA_SPEC_CLASS_DRAFT, 1, 0, 0 }, > {"xcvalu", ISA_SPEC_CLASS_DRAFT, 1, 0, 0 }, > + {"xcvelw", ISA_SPEC_CLASS_DRAFT, 1, 0, 0 }, > {"xtheadba", ISA_SPEC_CLASS_DRAFT, 1, 0, 0 }, > {"xtheadbb", ISA_SPEC_CLASS_DRAFT, 1, 0, 0 }, > {"xtheadbs", ISA_SPEC_CLASS_DRAFT, 1, 0, 0 }, > @@ -2576,6 +2577,8 @@ riscv_multi_subset_supports (riscv_parse_subset_t > *rps, > return riscv_subset_supports (rps, "xcvmac"); > case INSN_CLASS_XCVALU: > return riscv_subset_supports (rps, "xcvalu"); > + case INSN_CLASS_XCVELW: > + return riscv_subset_supports (rps, "xcvelw"); > case INSN_CLASS_XTHEADBA: > return riscv_subset_supports (rps, "xtheadba"); > case INSN_CLASS_XTHEADBB: > @@ -2828,6 +2831,8 @@ riscv_multi_subset_supports_ext > (riscv_parse_subset_t *rps, > return "xcvmac"; > case INSN_CLASS_XCVALU: > return "xcvalu"; > + case INSN_CLASS_XCVELW: > + return "xcvelw"; > case INSN_CLASS_XTHEADBA: > return "xtheadba"; > case INSN_CLASS_XTHEADBB: > diff --git a/gas/doc/c-riscv.texi b/gas/doc/c-riscv.texi > index e929c1cddbe..d1712b578a0 100644 > --- a/gas/doc/c-riscv.texi > +++ b/gas/doc/c-riscv.texi > @@ -750,6 +750,11 @@ The Xcvalu extension provides instructions for > general ALU operations. > > It is documented in @url{ > https://docs.openhwgroup.org/projects/cv32e40p-user-manual/en/latest/inst= ruction_set_extensions.html > } > > +@item Xcvelw > +The Xcvelw extension provides instructions for event load word operation= s. > + > +It is documented in @url{ > https://docs.openhwgroup.org/projects/cv32e40p-user-manual/en/latest/inst= ruction_set_extensions.html > } > + > @item XTheadBa > The XTheadBa extension provides instructions for address calculations. > > diff --git a/gas/testsuite/gas/riscv/cv-elw-fail-march.d > b/gas/testsuite/gas/riscv/cv-elw-fail-march.d > new file mode 100644 > index 00000000000..5a3a6dba66b > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-fail-march.d > @@ -0,0 +1,3 @@ > +#as: -march=3Drv32i > +#source: cv-elw-fail-march.s > +#error_output: cv-elw-fail-march.l > diff --git a/gas/testsuite/gas/riscv/cv-elw-fail-march.l > b/gas/testsuite/gas/riscv/cv-elw-fail-march.l > new file mode 100644 > index 00000000000..760a71b3827 > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-fail-march.l > @@ -0,0 +1,38 @@ > +.*: Assembler messages: > +.*: Error: unrecognized opcode `cv.elw x5,-2048\(x6\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x5,0\(x6\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x5,20\(x6\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x5,2047\(x6\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x31,2047\(x31\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x0,0\(x0\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x1,1024\(x1\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x2,1024\(x2\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x3,1024\(x3\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x4,1024\(x4\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x5,1024\(x5\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x6,1024\(x6\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x7,1024\(x7\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x8,1024\(x8\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x9,1024\(x9\)', extension `xcvelw' > required > +.*: Error: unrecognized opcode `cv.elw x10,1024\(x10\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x11,1024\(x11\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x12,1024\(x12\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x13,1024\(x13\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x14,1024\(x14\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x15,1024\(x15\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x16,1024\(x16\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x17,1024\(x17\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x18,1024\(x18\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x19,1024\(x19\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x20,1024\(x20\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x21,1024\(x21\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x22,1024\(x22\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x23,1024\(x23\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x24,1024\(x24\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x25,1024\(x25\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x26,1024\(x26\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x27,1024\(x27\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x28,1024\(x28\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x29,1024\(x29\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x30,1024\(x30\)', extension > `xcvelw' required > +.*: Error: unrecognized opcode `cv.elw x31,1024\(x31\)', extension > `xcvelw' required > diff --git a/gas/testsuite/gas/riscv/cv-elw-fail-march.s > b/gas/testsuite/gas/riscv/cv-elw-fail-march.s > new file mode 100644 > index 00000000000..8728f1cbdee > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-fail-march.s > @@ -0,0 +1,42 @@ > +target: > + # Immediate Boundary Tests > + cv.elw x5,-2048(x6) > + cv.elw x5,0(x6) > + cv.elw x5,20(x6) > + cv.elw x5,2047(x6) > + cv.elw x31,2047(x31) > + > Whitespace error. > + # Register Boundary Tests > + cv.elw x0,0(x0) > + cv.elw x1,1024(x1) > + cv.elw x2,1024(x2) > + cv.elw x3,1024(x3) > + cv.elw x4,1024(x4) > + cv.elw x5,1024(x5) > + cv.elw x6,1024(x6) > + cv.elw x7,1024(x7) > + cv.elw x8,1024(x8) > + cv.elw x9,1024(x9) > + cv.elw x10,1024(x10) > + cv.elw x11,1024(x11) > + cv.elw x12,1024(x12) > + cv.elw x13,1024(x13) > + cv.elw x14,1024(x14) > + cv.elw x15,1024(x15) > + cv.elw x16,1024(x16) > + cv.elw x17,1024(x17) > + cv.elw x18,1024(x18) > + cv.elw x19,1024(x19) > + cv.elw x20,1024(x20) > + cv.elw x21,1024(x21) > + cv.elw x22,1024(x22) > + cv.elw x23,1024(x23) > + cv.elw x24,1024(x24) > + cv.elw x25,1024(x25) > + cv.elw x26,1024(x26) > + cv.elw x27,1024(x27) > + cv.elw x28,1024(x28) > + cv.elw x29,1024(x29) > + cv.elw x30,1024(x30) > + cv.elw x31,1024(x31) > + > Whitespace error. > \ No newline at end of file > diff --git a/gas/testsuite/gas/riscv/cv-elw-fail.d > b/gas/testsuite/gas/riscv/cv-elw-fail.d > new file mode 100644 > index 00000000000..d7fd1d1a6cb > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-fail.d > @@ -0,0 +1,3 @@ > +#as: -march=3Drv32i_xcvelw > +#source: cv-elw-fail.s > +#error_output: cv-elw-fail.l > diff --git a/gas/testsuite/gas/riscv/cv-elw-fail.l > b/gas/testsuite/gas/riscv/cv-elw-fail.l > new file mode 100644 > index 00000000000..4d3f15ba138 > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-fail.l > @@ -0,0 +1,5 @@ > +.*: Assembler messages: > +.*: Error: illegal operands `cv.elw x5,-2049\(x6\)' > +.*: Error: illegal operands `cv.elw x5,2048\(x6\)' > +.*: Error: illegal operands `cv.elw x-1,1024\(x-1\)' > +.*: Error: illegal operands `cv.elw x32,1024\(x32\)' > \ No newline at end of file > diff --git a/gas/testsuite/gas/riscv/cv-elw-fail.s > b/gas/testsuite/gas/riscv/cv-elw-fail.s > new file mode 100644 > index 00000000000..4ce122297f4 > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-fail.s > @@ -0,0 +1,8 @@ > +target: > + # Immediate Boundary Tests > + cv.elw x5,-2049(x6) > + cv.elw x5,2048(x6) > + > + # Register Boundary Tests > + cv.elw x-1,1024(x-1) > + cv.elw x32,1024(x32) > diff --git a/gas/testsuite/gas/riscv/cv-elw-pass.d > b/gas/testsuite/gas/riscv/cv-elw-pass.d > new file mode 100644 > index 00000000000..04511491d18 > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-pass.d > @@ -0,0 +1,46 @@ > +#as: -march=3Drv32i_xcvelw > +#source: cv-elw-pass.s > +#objdump: -d > + > +.*:[ ]+file format .* > + > +Disassembly of section .text: > + > +0+000 : > +[ ]+0:[ ]+8003628b[ ]+cv.elw[ ]+t0,-2048\(t1\) > +[ ]+4:[ ]+0003628b[ ]+cv.elw[ ]+t0,0\(t1\) > +[ ]+8:[ ]+0143628b[ ]+cv.elw[ ]+t0,20\(t1\) > +[ ]+c:[ ]+7ff3628b[ ]+cv.elw[ ]+t0,2047\(t1\) > +[ ]+10:[ ]+7fffef8b[ ]+cv.elw[ ]+t6,2047\(t6\) > +[ ]+14:[ ]+0000600b[ ]+cv.elw[ ]+zero,0\(zero\) # 0 > > +[ ]+18:[ ]+4000e08b[ ]+cv.elw[ ]+ra,1024\(ra\) > +[ ]+1c:[ ]+4001610b[ ]+cv.elw[ ]+sp,1024\(sp\) > +[ ]+20:[ ]+4001e18b[ ]+cv.elw[ ]+gp,1024\(gp\) > +[ ]+24:[ ]+4002620b[ ]+cv.elw[ ]+tp,1024\(tp\) # 400 > > +[ ]+28:[ ]+4002e28b[ ]+cv.elw[ ]+t0,1024\(t0\) > +[ ]+2c:[ ]+4003630b[ ]+cv.elw[ ]+t1,1024\(t1\) > +[ ]+30:[ ]+4003e38b[ ]+cv.elw[ ]+t2,1024\(t2\) > +[ ]+34:[ ]+4004640b[ ]+cv.elw[ ]+s0,1024\(s0\) > +[ ]+38:[ ]+4004e48b[ ]+cv.elw[ ]+s1,1024\(s1\) > +[ ]+3c:[ ]+4005650b[ ]+cv.elw[ ]+a0,1024\(a0\) > +[ ]+40:[ ]+4005e58b[ ]+cv.elw[ ]+a1,1024\(a1\) > +[ ]+44:[ ]+4006660b[ ]+cv.elw[ ]+a2,1024\(a2\) > +[ ]+48:[ ]+4006e68b[ ]+cv.elw[ ]+a3,1024\(a3\) > +[ ]+4c:[ ]+4007670b[ ]+cv.elw[ ]+a4,1024\(a4\) > +[ ]+50:[ ]+4007e78b[ ]+cv.elw[ ]+a5,1024\(a5\) > +[ ]+54:[ ]+4008680b[ ]+cv.elw[ ]+a6,1024\(a6\) > +[ ]+58:[ ]+4008e88b[ ]+cv.elw[ ]+a7,1024\(a7\) > +[ ]+5c:[ ]+4009690b[ ]+cv.elw[ ]+s2,1024\(s2\) > +[ ]+60:[ ]+4009e98b[ ]+cv.elw[ ]+s3,1024\(s3\) > +[ ]+64:[ ]+400a6a0b[ ]+cv.elw[ ]+s4,1024\(s4\) > +[ ]+68:[ ]+400aea8b[ ]+cv.elw[ ]+s5,1024\(s5\) > +[ ]+6c:[ ]+400b6b0b[ ]+cv.elw[ ]+s6,1024\(s6\) > +[ ]+70:[ ]+400beb8b[ ]+cv.elw[ ]+s7,1024\(s7\) > +[ ]+74:[ ]+400c6c0b[ ]+cv.elw[ ]+s8,1024\(s8\) > +[ ]+78:[ ]+400cec8b[ ]+cv.elw[ ]+s9,1024\(s9\) > +[ ]+7c:[ ]+400d6d0b[ ]+cv.elw[ ]+s10,1024\(s10\) > +[ ]+80:[ ]+400ded8b[ ]+cv.elw[ ]+s11,1024\(s11\) > +[ ]+84:[ ]+400e6e0b[ ]+cv.elw[ ]+t3,1024\(t3\) > +[ ]+88:[ ]+400eee8b[ ]+cv.elw[ ]+t4,1024\(t4\) > +[ ]+8c:[ ]+400f6f0b[ ]+cv.elw[ ]+t5,1024\(t5\) > +[ ]+90:[ ]+400fef8b[ ]+cv.elw[ ]+t6,1024\(t6\) > diff --git a/gas/testsuite/gas/riscv/cv-elw-pass.s > b/gas/testsuite/gas/riscv/cv-elw-pass.s > new file mode 100644 > index 00000000000..ed6d1882f06 > --- /dev/null > +++ b/gas/testsuite/gas/riscv/cv-elw-pass.s > @@ -0,0 +1,42 @@ > +target: > + # Immediate Boundary Tests > + cv.elw x5,-2048(x6) > + cv.elw x5,0(x6) > + cv.elw x5,20(x6) > + cv.elw x5,2047(x6) > + cv.elw x31,2047(x31) > + > + # Register Boundary Tests > + cv.elw x0,0(x0) > + cv.elw x1,1024(x1) > + cv.elw x2,1024(x2) > + cv.elw x3,1024(x3) > + cv.elw x4,1024(x4) > + cv.elw x5,1024(x5) > + cv.elw x6,1024(x6) > + cv.elw x7,1024(x7) > + cv.elw x8,1024(x8) > + cv.elw x9,1024(x9) > + cv.elw x10,1024(x10) > + cv.elw x11,1024(x11) > + cv.elw x12,1024(x12) > + cv.elw x13,1024(x13) > + cv.elw x14,1024(x14) > + cv.elw x15,1024(x15) > + cv.elw x16,1024(x16) > + cv.elw x17,1024(x17) > + cv.elw x18,1024(x18) > + cv.elw x19,1024(x19) > + cv.elw x20,1024(x20) > + cv.elw x21,1024(x21) > + cv.elw x22,1024(x22) > + cv.elw x23,1024(x23) > + cv.elw x24,1024(x24) > + cv.elw x25,1024(x25) > + cv.elw x26,1024(x26) > + cv.elw x27,1024(x27) > + cv.elw x28,1024(x28) > + cv.elw x29,1024(x29) > + cv.elw x30,1024(x30) > + cv.elw x31,1024(x31) > + > Whitespace error. > \ No newline at end of file > diff --git a/include/opcode/riscv-opc.h b/include/opcode/riscv-opc.h > index e77b49a6298..36eb3b5e723 100644 > --- a/include/opcode/riscv-opc.h > +++ b/include/opcode/riscv-opc.h > @@ -2424,6 +2424,9 @@ > #define MASK_CV_SUBRN 0xc000707f > #define MATCH_CV_SUBURN 0xc000305b > #define MASK_CV_SUBURN 0xc000707f > +/* Vendor-specific (CORE-V) Xcvelw instructions. */ > +#define MATCH_CV_ELW 0x600b > +#define MASK_CV_ELW 0x707f > Thanks, seems like the overlapped encoding with rv64i is fixed. > /* Vendor-specific (T-Head) XTheadBa instructions. */ > #define MATCH_TH_ADDSL 0x0000100b > #define MASK_TH_ADDSL 0xf800707f > diff --git a/include/opcode/riscv.h b/include/opcode/riscv.h > index adea7dbc794..e385bf4ea7a 100644 > --- a/include/opcode/riscv.h > +++ b/include/opcode/riscv.h > @@ -471,6 +471,7 @@ enum riscv_insn_class > INSN_CLASS_H, > INSN_CLASS_XCVMAC, > INSN_CLASS_XCVALU, > + INSN_CLASS_XCVELW, > INSN_CLASS_XTHEADBA, > INSN_CLASS_XTHEADBB, > INSN_CLASS_XTHEADBS, > diff --git a/opcodes/riscv-opc.c b/opcodes/riscv-opc.c > index fdd05ac75dc..5941621f25d 100644 > --- a/opcodes/riscv-opc.c > +++ b/opcodes/riscv-opc.c > @@ -2112,6 +2112,9 @@ const struct riscv_opcode riscv_opcodes[] =3D > {"cv.subrnr", 0, INSN_CLASS_XCVALU, "d,s,t", MATCH_CV_SUBRNR, > MASK_CV_SUBRNR, match_opcode, 0}, > {"cv.suburnr", 0, INSN_CLASS_XCVALU, "d,s,t", MATCH_CV_SUBURNR, > MASK_CV_SUBURNR, match_opcode, 0}, > > +/* Vendor-specific (CORE-V) Xcvelw instructions. */ > +{"cv.elw", 0, INSN_CLASS_XCVELW, "d,o(s)", MATCH_CV_ELW, MASK_CV_ELW, > match_opcode, 0}, > + > /* Vendor-specific (T-Head) XTheadBa instructions. */ > {"th.addsl", 0, INSN_CLASS_XTHEADBA, "d,s,t,Xtu2@25", > MATCH_TH_ADDSL, MASK_TH_ADDSL, match_opcode, 0}, > > -- > 2.34.1 > > --000000000000c7fbc8060eb50759--