Cygwin Configuration Diagnostics Current System Time: Sun Dec 07 06:50:59 2014 Windows 7 Professional Ver 6.1 Build 7600 Path: C:\cygwin64\usr\local\bin C:\cygwin64\bin C:\ProgramData\Oracle\Java\javapath C:\windows\system32 C:\windows C:\windows\System32\Wbem C:\windows\System32\WindowsPowerShell\v1.0 C:\cygwin64\lib\lapack C:\cygwin64\usr\sbin C:\Users\Peter\Dropbox\notes\bin\cygwin\bin C:\Program Files (x86)\VMware\VMware Workstation . Output from C:\cygwin64\bin\id.exe UID: 1001(Peter) GID: 0(root) 0(root) 544(Administrators) 551(Backup Operators) 545(Users) 1002(HomeUsers) SysDir: C:\windows\system32 WinDir: C:\windows USER = 'Peter' PWD = '/home/Peter/tmp' HOME = '/home/Peter' USERDOMAIN_ROAMINGPROFILE = 'PZI-S3' HOMEPATH = '\Users\Peter' APPDATA = 'C:\Users\Peter\AppData\Roaming' ProgramW6432 = 'C:\Program Files' HOSTNAME = 'pzi-s3' SHELL = '/bin/bash' TERM = 'xterm' PROCESSOR_IDENTIFIER = 'Intel64 Family 6 Model 69 Stepping 1, GenuineIntel' PROFILEREAD = 'true' WINDIR = 'C:\windows' PUBLIC = 'C:\Users\Public' OLDPWD = '/home/Peter' ORIGINAL_PATH = '/cygdrive/c/ProgramData/Oracle/Java/javapath:/cygdrive/c/windows/system32:/cygdrive/c/windows:/cygdrive/c/windows/System32/Wbem:/cygdrive/c/windows/System32/WindowsPowerShell/v1.0' USERDOMAIN = 'PZI-S3' CommonProgramFiles(x86) = 'C:\Program Files (x86)\Common Files' OS = 'Windows_NT' ALLUSERSPROFILE = 'C:\ProgramData' TEMP = '/tmp' COMMONPROGRAMFILES = 'C:\Program Files\Common Files' USERNAME = 'Peter' PROCESSOR_LEVEL = '6' ProgramFiles(x86) = 'C:\Program Files (x86)' PSModulePath = 'C:\windows\system32\WindowsPowerShell\v1.0\Modules\' FP_NO_HOST_CHECK = 'NO' SYSTEMDRIVE = 'C:' __COMPAT_LAYER = 'Win7RTM DetectorsWin7 Installer' EDITOR = 'vi' LANG = 'C' USERPROFILE = 'C:\Users\Peter' TZ = 'America/Denver' PS1 = '\u@\h:\w\$ ' LOGONSERVER = '\\MicrosoftAccount' CommonProgramW6432 = 'C:\Program Files\Common Files' PROCESSOR_ARCHITECTURE = 'AMD64' LOCALAPPDATA = 'C:\Users\Peter\AppData\Local' ProgramData = 'C:\ProgramData' EXECIGNORE = '*.dll' SHLVL = '1' PATHEXT = '.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC' HOMEDRIVE = 'C:' COMSPEC = 'C:\windows\system32\cmd.exe' TMP = '/tmp' SYSTEMROOT = 'C:\windows' PRINTER = 'Brother DCP-7065DN Printer' PROCESSOR_REVISION = '4501' INFOPATH = '/usr/local/info:/usr/share/info:/usr/info' PROGRAMFILES = 'C:\Program Files' NUMBER_OF_PROCESSORS = '4' COMPUTERNAME = 'PZI-S3' _ = '/usr/bin/cygcheck' HKEY_CURRENT_USER\Software\Cygwin HKEY_CURRENT_USER\Software\Cygwin\Installations (default) = '\??\C:\cygwin64' HKEY_CURRENT_USER\Software\Cygwin\Program Options HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\DefaultIcon (default) = 'C:\cygwin64\bin\xlaunch.exe,1' HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Edit HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Edit\command (default) = '"C:\cygwin64\bin\run" "C:\cygwin64\bin\bash" -l -c "xlaunch -load \"%1\""' HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Open HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Open\command (default) = '"C:\cygwin64\bin\run" "C:\cygwin64\bin\bash" -l -c "xlaunch -run \"%1\""' HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\Installations (default) = '\??\C:\cygwin64' HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\Program Options HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\setup (default) = 'C:\cygwin64' HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin\Installations (default) = '\??\C:\cygwin' HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin\Program Options HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin\setup (default) = 'C:\cygwin' obcaseinsensitive set to 1 Cygwin installations found in the registry: System: Key: e022582115c10879 Path: C:\cygwin64 User: Key: e022582115c10879 Path: C:\cygwin64 c: hd NTFS 482506Mb 59% CP CS UN PA FC Windows d: fd NTFS 60904Mb 73% CP CS UN PA FC pzi-sd-64g-13 C:\cygwin64 / system binary,auto C:\cygwin64\bin /usr/bin system binary,auto C:\cygwin64\lib /usr/lib system binary,auto cygdrive prefix /cygdrive user binary,posix=0,auto Found: C:\cygwin64\bin\awk -> C:\cygwin64\bin\gawk.exe Found: C:\cygwin64\bin\bash.exe Found: C:\cygwin64\bin\cat.exe Found: C:\cygwin64\bin\cp.exe Found: C:\cygwin64\bin\cpp.exe Found: C:\cygwin64\bin\crontab.exe Found: C:\cygwin64\bin\find.exe Found: C:\windows\system32\find.exe Warning: C:\cygwin64\bin\find.exe hides C:\windows\system32\find.exe Found: C:\cygwin64\bin\gcc.exe Found: C:\cygwin64\bin\gdb.exe Found: C:\cygwin64\bin\grep.exe Found: C:\cygwin64\bin\kill.exe Found: C:\cygwin64\bin\ld.exe Found: C:\cygwin64\bin\ls.exe Found: C:\cygwin64\bin\make.exe Found: C:\cygwin64\bin\mv.exe Found: C:\cygwin64\bin\patch.exe Found: C:\cygwin64\bin\perl.exe Found: C:\cygwin64\bin\rm.exe Found: C:\cygwin64\bin\sed.exe Found: C:\cygwin64\bin\ssh.exe Found: C:\cygwin64\bin\sh.exe Found: C:\cygwin64\bin\tar.exe Found: C:\cygwin64\bin\test.exe Found: C:\cygwin64\bin\vi.exe Found: C:\cygwin64\bin\vim.exe 88k 2013/04/02 C:\cygwin64\bin\cygaa-1.dll - os=4.0 img=0.0 sys=5.2 "cygaa-1.dll" v0.0 ts=2013-04-02 12:06 127k 2013/06/23 C:\cygwin64\bin\cygapr-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygapr-1-0.dll" v0.0 ts=2013-06-23 18:53 116k 2014/09/22 C:\cygwin64\bin\cygaprutil-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygaprutil-1-0.dll" v0.0 ts=2014-09-22 18:49 575k 2013/05/30 C:\cygwin64\bin\cygarchive-13.dll - os=4.0 img=0.0 sys=5.2 "cygarchive-13.dll" v0.0 ts=2013-05-30 03:06 38k 2013/07/19 C:\cygwin64\bin\cygargp-0.dll - os=4.0 img=0.0 sys=5.2 "cygargp-0.dll" v0.0 ts=2013-07-19 15:07 92k 2013/05/30 C:\cygwin64\bin\cygart_lgpl_2-2.dll - os=4.0 img=0.0 sys=5.2 "cygart_lgpl_2-2.dll" v0.0 ts=2013-05-31 00:00 643k 2013/04/30 C:\cygwin64\bin\cygaspell-15.dll - os=4.0 img=0.0 sys=5.2 "cygaspell-15.dll" v0.0 ts=2013-04-30 08:11 20k 2013/06/03 C:\cygwin64\bin\cygasyncns-0.dll - os=4.0 img=0.0 sys=5.2 "cygasyncns-0.dll" v0.0 ts=2013-06-03 20:41 107k 2014/03/12 C:\cygwin64\bin\cygatk-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygatk-1.0-0.dll" v0.0 ts=2014-03-12 07:45 145k 2014/03/12 C:\cygwin64\bin\cygatk-bridge-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygatk-bridge-2.0-0.dll" v0.0 ts=2014-03-12 08:36 88k 2014/11/13 C:\cygwin64\bin\cygatomic-1.dll - os=4.0 img=0.0 sys=5.2 "cygatomic-1.dll" v0.0 ts=2014-11-13 04:48 137k 2014/03/12 C:\cygwin64\bin\cygatspi-0.dll - os=4.0 img=0.0 sys=5.2 "cygatspi-0.dll" v0.0 ts=2014-03-12 08:18 16k 2013/03/26 C:\cygwin64\bin\cygattr-1.dll - os=4.0 img=0.0 sys=5.2 "cygattr-1.dll" v0.0 ts=2013-03-26 18:26 80k 2013/05/30 C:\cygwin64\bin\cygaudio-2.dll - os=4.0 img=0.0 sys=5.2 "cygaudio-2.dll" v0.0 ts=2013-05-30 23:41 174k 2013/04/29 C:\cygwin64\bin\cygautotrace-3.dll - os=4.0 img=0.0 sys=5.2 "cygautotrace-3.dll" v0.0 ts=2013-04-29 09:23 59k 2013/06/04 C:\cygwin64\bin\cygavahi-client-3.dll - os=4.0 img=0.0 sys=5.2 "cygavahi-client-3.dll" v0.0 ts=2013-06-04 05:29 42k 2013/06/04 C:\cygwin64\bin\cygavahi-common-3.dll - os=4.0 img=0.0 sys=5.2 "cygavahi-common-3.dll" v0.0 ts=2013-06-04 05:28 13k 2013/06/04 C:\cygwin64\bin\cygavahi-glib-1.dll - os=4.0 img=0.0 sys=5.2 "cygavahi-glib-1.dll" v0.0 ts=2013-06-04 05:29 179k 2014/12/01 C:\cygwin64\bin\cygblkid-1.dll - os=4.0 img=0.0 sys=5.2 "cygblkid-1.dll" v0.0 ts=2014-12-01 23:56 368k 2013/05/30 C:\cygwin64\bin\cygbonobo-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygbonobo-2-0.dll" v0.0 ts=2013-05-31 00:16 84k 2013/05/30 C:\cygwin64\bin\cygbonobo-activation-4.dll - os=4.0 img=0.0 sys=5.2 "cygbonobo-activation-4.dll" v0.0 ts=2013-05-31 00:16 351k 2013/06/04 C:\cygwin64\bin\cygbonoboui-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygbonoboui-2-0.dll" v0.0 ts=2013-06-04 18:37 262k 2014/09/01 C:\cygwin64\bin\cygboost_python-1_55.dll - os=4.0 img=0.0 sys=5.2 "cygboost_python-1_55.dll" v0.0 ts=2014-09-01 06:36 859k 2014/09/01 C:\cygwin64\bin\cygboost_regex-1_55.dll - os=4.0 img=0.0 sys=5.2 "cygboost_regex-1_55.dll" v0.0 ts=2014-09-01 06:32 2387k 2014/09/01 C:\cygwin64\bin\cygbotan-1.10-0.dll - os=4.0 img=0.0 sys=5.2 "cygbotan-1.10-0.dll" v0.0 ts=2014-09-01 08:27 1949k 2014/05/12 C:\cygwin64\bin\cygbotan-1.8.13.dll - os=4.0 img=0.0 sys=5.2 "cygbotan-1.8.13.dll" v0.0 ts=1970-01-01 00:00 64k 2013/03/07 C:\cygwin64\bin\cygbz2-1.dll - os=4.0 img=0.0 sys=5.2 "cygbz2-1.dll" v0.0 ts=2013-03-07 02:54 779k 2014/08/28 C:\cygwin64\bin\cygcaca-0.dll - os=4.0 img=0.0 sys=5.2 "cygcaca-0.dll" v0.0 ts=2014-08-28 09:54 1064k 2014/11/16 C:\cygwin64\bin\cygcairo-2.dll - os=4.0 img=0.0 sys=5.2 "cygcairo-2.dll" v0.0 ts=2014-11-16 23:01 27k 2014/11/16 C:\cygwin64\bin\cygcairo-gobject-2.dll - os=4.0 img=0.0 sys=5.2 "cygcairo-gobject-2.dll" v0.0 ts=2014-11-16 23:01 118k 2014/11/16 C:\cygwin64\bin\cygcairo-script-interpreter-2.dll - os=4.0 img=0.0 sys=5.2 "cygcairo-script-interpreter-2.dll" v0.0 ts=2014-11-16 23:02 59k 2013/06/04 C:\cygwin64\bin\cygcanberra-0.dll - os=4.0 img=0.0 sys=5.2 "cygcanberra-0.dll" v0.0 ts=2013-06-04 08:04 17k 2013/06/04 C:\cygwin64\bin\cygcanberra-gtk-0.dll - os=4.0 img=0.0 sys=5.2 "cygcanberra-gtk-0.dll" v0.0 ts=2013-06-04 08:05 17k 2013/06/04 C:\cygwin64\bin\cygcanberra-gtk3-0.dll - os=4.0 img=0.0 sys=5.2 "cygcanberra-gtk3-0.dll" v0.0 ts=2013-06-04 08:05 13k 2013/03/06 C:\cygwin64\bin\cygcatgets1.dll - os=4.0 img=0.0 sys=5.2 "cygcatgets1.dll" v0.0 ts=2013-03-06 14:34 24k 2014/08/27 C:\cygwin64\bin\cygcdt-5.dll - os=4.0 img=0.0 sys=5.2 "cygcdt-5.dll" v0.0 ts=2014-08-27 02:35 68k 2014/08/27 C:\cygwin64\bin\cygcgraph-6.dll - os=4.0 img=0.0 sys=5.2 "cygcgraph-6.dll" v0.0 ts=2014-08-27 02:35 10k 2013/03/07 C:\cygwin64\bin\cygcharset-1.dll - os=4.0 img=0.0 sys=5.2 "cygcharset-1.dll" v0.0 ts=2013-03-07 03:03 34k 2014/04/23 C:\cygwin64\bin\cygcheck-0.dll - os=4.0 img=0.0 sys=5.2 "cygcheck-0.dll" v0.0 ts=1970-01-01 00:00 12296k 2014/10/29 C:\cygwin64\bin\cygclang.dll - os=4.0 img=0.0 sys=5.2 "cygclang.dll" v0.0 ts=2014-10-28 23:58 117k 2013/05/05 C:\cygwin64\bin\cygcloog-isl-4.dll - os=4.0 img=0.0 sys=5.2 "cygcloog-isl-4.dll" v0.0 ts=2013-05-05 16:41 19k 2013/04/25 C:\cygwin64\bin\cygcompface-0.dll - os=4.0 img=0.0 sys=5.2 "cygcompface-0.dll" v0.0 ts=2013-04-25 15:47 12k 2014/06/09 C:\cygwin64\bin\cygcom_err-2.dll - os=4.0 img=0.0 sys=5.2 "cygcom_err-2.dll" v0.0 ts=1970-01-01 00:00 187k 2013/06/05 C:\cygwin64\bin\cygcppunit-1-12-1.dll - os=4.0 img=0.0 sys=5.2 "cygcppunit-1-12-1.dll" v0.0 ts=2013-06-05 18:21 203k 2013/04/21 C:\cygwin64\bin\cygcroco-0.6-3.dll - os=4.0 img=0.0 sys=5.2 "cygcroco-0.6-3.dll" v0.0 ts=2013-04-21 06:25 9k 2013/03/07 C:\cygwin64\bin\cygcrypt-0.dll - os=4.0 img=0.0 sys=5.2 "cygcrypt-0.dll" v0.0 ts=2013-03-07 09:29 1748k 2014/10/16 C:\cygwin64\bin\cygcrypto-1.0.0.dll - os=4.0 img=0.0 sys=5.2 "cygcrypto-1.0.0.dll" v0.0 ts=2014-10-16 18:11 416k 2014/11/07 C:\cygwin64\bin\cygcurl-4.dll - os=4.0 img=0.0 sys=5.2 "cygcurl-4.dll" v0.0 ts=2014-11-07 20:17 24k 2014/11/12 C:\cygwin64\bin\cygdatrie-1.dll - os=4.0 img=0.0 sys=5.2 "cygdatrie-1.dll" v0.0 ts=2014-11-12 07:24 1526k 2013/03/08 C:\cygwin64\bin\cygdb-5.3.dll - os=4.0 img=0.0 sys=5.2 "cygdb-5.3.dll" v0.0 ts=2013-03-08 07:55 242k 2014/11/24 C:\cygwin64\bin\cygdbus-1-3.dll - os=4.0 img=0.0 sys=5.2 "cygdbus-1-3.dll" v0.0 ts=2014-11-24 00:11 124k 2013/04/21 C:\cygwin64\bin\cygdbus-glib-1-2.dll - os=4.0 img=0.0 sys=5.2 "cygdbus-glib-1-2.dll" v0.0 ts=2013-04-21 07:12 111k 2013/03/08 C:\cygwin64\bin\cygdb_cxx-5.3.dll - os=4.0 img=0.0 sys=5.2 "cygdb_cxx-5.3.dll" v0.0 ts=2013-03-08 07:56 472k 2013/03/08 C:\cygwin64\bin\cygdb_sql-5.3.dll - os=4.0 img=0.0 sys=5.2 "cygdb_sql-5.3.dll" v0.0 ts=2013-03-08 07:58 169k 2014/02/10 C:\cygwin64\bin\cygdialog-11.dll - os=4.0 img=0.0 sys=5.2 "cygdialog-11.dll" v0.0 ts=2014-02-10 01:24 15k 2013/06/06 C:\cygwin64\bin\cygdmx-1.dll - os=4.0 img=0.0 sys=5.2 "cygdmx-1.dll" v0.0 ts=2013-06-06 20:04 154k 2013/10/20 C:\cygwin64\bin\cygedit-0.dll - os=4.0 img=0.0 sys=5.2 "cygedit-0.dll" v0.0 ts=2013-10-20 21:56 68k 2014/11/16 C:\cygwin64\bin\cygEGL-1.dll - os=4.0 img=0.0 sys=5.2 "cygEGL-1.dll" v0.0 ts=2014-11-16 20:19 158k 2013/04/23 C:\cygwin64\bin\cygEMF-1.dll - os=4.0 img=0.0 sys=5.2 "cygEMF-1.dll" v0.0 ts=2013-04-23 06:39 36k 2013/06/05 C:\cygwin64\bin\cygenchant-1.dll - os=4.0 img=0.0 sys=5.2 "cygenchant-1.dll" v0.0 ts=2013-06-06 00:25 226k 2013/07/07 C:\cygwin64\bin\cygevent-2-0-5.dll - os=4.0 img=0.0 sys=5.2 "cygevent-2-0-5.dll" v0.0 ts=2013-07-07 10:05 135k 2013/07/07 C:\cygwin64\bin\cygevent_core-2-0-5.dll - os=4.0 img=0.0 sys=5.2 "cygevent_core-2-0-5.dll" v0.0 ts=2013-07-07 10:05 103k 2013/07/07 C:\cygwin64\bin\cygevent_extra-2-0-5.dll - os=4.0 img=0.0 sys=5.2 "cygevent_extra-2-0-5.dll" v0.0 ts=2013-07-07 10:05 20k 2013/07/07 C:\cygwin64\bin\cygevent_openssl-2-0-5.dll - os=4.0 img=0.0 sys=5.2 "cygevent_openssl-2-0-5.dll" v0.0 ts=2013-07-07 10:05 10k 2013/07/07 C:\cygwin64\bin\cygevent_pthreads-2-0-5.dll - os=4.0 img=0.0 sys=5.2 "cygevent_pthreads-2-0-5.dll" v0.0 ts=2013-07-07 10:05 16k 2013/03/28 C:\cygwin64\bin\cygevtlog-0.dll - os=4.0 img=0.0 sys=5.2 "cygevtlog-0.dll" v0.0 ts=2013-03-28 14:00 216k 2013/06/05 C:\cygwin64\bin\cygexif-12.dll - os=4.0 img=0.0 sys=5.2 "cygexif-12.dll" v0.0 ts=2013-06-06 00:31 147k 2013/07/31 C:\cygwin64\bin\cygexpat-1.dll - os=4.0 img=0.0 sys=5.2 "cygexpat-1.dll" v0.0 ts=2013-07-31 22:53 72k 2013/09/02 C:\cygwin64\bin\cygexslt-0.dll - os=4.0 img=0.0 sys=5.2 "cygexslt-0.dll" v0.0 ts=2013-09-02 17:55 30k 2014/10/14 C:\cygwin64\bin\cygfam-0.dll - os=4.0 img=0.0 sys=5.2 "cygfam-0.dll" v0.0 ts=2014-10-14 21:33 22k 2013/05/12 C:\cygwin64\bin\cygffi-6.dll - os=4.0 img=0.0 sys=5.2 "cygffi-6.dll" v0.0 ts=2013-05-12 22:53 1050k 2014/04/13 C:\cygwin64\bin\cygfftw3-3.dll - os=4.0 img=0.0 sys=5.2 "cygfftw3-3.dll" v0.0 ts=1970-01-01 00:00 1011k 2014/04/13 C:\cygwin64\bin\cygfftw3f-3.dll - os=4.0 img=0.0 sys=5.2 "cygfftw3f-3.dll" v0.0 ts=1970-01-01 00:00 25k 2014/04/13 C:\cygwin64\bin\cygfftw3f_threads-3.dll - os=4.0 img=0.0 sys=5.2 "cygfftw3f_threads-3.dll" v0.0 ts=1970-01-01 00:00 24k 2014/04/13 C:\cygwin64\bin\cygfftw3_threads-3.dll - os=4.0 img=0.0 sys=5.2 "cygfftw3_threads-3.dll" v0.0 ts=1970-01-01 00:00 71k 2014/11/30 C:\cygwin64\bin\cygFLAC++-6.dll - os=4.0 img=0.0 sys=5.2 "cygFLAC++-6.dll" v0.0 ts=2014-11-30 21:28 412k 2014/11/30 C:\cygwin64\bin\cygFLAC-8.dll - os=4.0 img=0.0 sys=5.2 "cygFLAC-8.dll" v0.0 ts=2014-11-30 21:27 959k 2013/09/10 C:\cygwin64\bin\cygfltk-1.3.dll - os=4.0 img=0.0 sys=5.2 "cygfltk-1.3.dll" v0.0 ts=2013-09-10 19:39 21k 2013/09/10 C:\cygwin64\bin\cygfltk_forms-1.3.dll - os=4.0 img=0.0 sys=5.2 "cygfltk_forms-1.3.dll" v0.0 ts=2013-09-10 19:39 90k 2013/09/10 C:\cygwin64\bin\cygfltk_gl-1.3.dll - os=4.0 img=0.0 sys=5.2 "cygfltk_gl-1.3.dll" v0.0 ts=2013-09-10 19:39 47k 2013/09/10 C:\cygwin64\bin\cygfltk_images-1.3.dll - os=4.0 img=0.0 sys=5.2 "cygfltk_images-1.3.dll" v0.0 ts=2013-09-10 19:39 218k 2014/04/29 C:\cygwin64\bin\cygfontconfig-1.dll - os=4.0 img=0.0 sys=5.2 "cygfontconfig-1.dll" v0.0 ts=1970-01-01 00:00 25k 2013/06/06 C:\cygwin64\bin\cygfontenc-1.dll - os=4.0 img=0.0 sys=5.2 "cygfontenc-1.dll" v0.0 ts=2013-06-06 22:13 56k 2014/05/26 C:\cygwin64\bin\cygformw-10.dll - os=4.0 img=0.0 sys=5.2 "cygformw-10.dll" v0.0 ts=1970-01-01 00:00 545k 2013/04/21 C:\cygwin64\bin\cygfpx-1.dll - os=4.0 img=0.0 sys=5.2 "cygfpx-1.dll" v0.0 ts=2013-04-21 12:24 404k 2014/10/19 C:\cygwin64\bin\cygfreebl3.dll - os=4.0 img=0.0 sys=5.2 "cygfreebl3.dll" v0.0 ts=2014-10-19 14:18 585k 2014/03/31 C:\cygwin64\bin\cygfreetype-6.dll - os=4.0 img=0.0 sys=5.2 "cygfreetype-6.dll" v0.0 ts=1970-01-01 00:00 91k 2013/06/05 C:\cygwin64\bin\cygfribidi-0.dll - os=4.0 img=0.0 sys=5.2 "cygfribidi-0.dll" v0.0 ts=2013-06-05 18:14 41k 2014/04/04 C:\cygwin64\bin\cygFS-6.dll - os=4.0 img=0.0 sys=5.2 "cygFS-6.dll" v0.0 ts=1970-01-01 00:00 28k 2014/03/28 C:\cygwin64\bin\cyggailutil-18.dll - os=4.0 img=0.0 sys=5.2 "cyggailutil-18.dll" v0.0 ts=2014-03-28 18:05 30k 2014/10/14 C:\cygwin64\bin\cyggamin-1-0.dll - os=4.0 img=0.0 sys=5.2 "cyggamin-1-0.dll" v0.0 ts=2014-10-14 21:33 68k 2014/11/13 C:\cygwin64\bin\cyggcc_s-seh-1.dll - os=4.0 img=0.0 sys=5.2 "cyggcc_s-seh-1.dll" v0.0 ts=2014-11-13 03:28 176k 2014/03/20 C:\cygwin64\bin\cyggck-1-0.dll - os=4.0 img=0.0 sys=5.2 "cyggck-1-0.dll" v0.0 ts=2014-03-20 03:06 156k 2013/08/06 C:\cygwin64\bin\cyggconf-2-4.dll - os=4.0 img=0.0 sys=5.2 "cyggconf-2-4.dll" v0.0 ts=2013-08-06 20:22 470k 2014/03/20 C:\cygwin64\bin\cyggcr-base-3-1.dll - os=4.0 img=0.0 sys=5.2 "cyggcr-base-3-1.dll" v0.0 ts=2014-03-20 03:07 357k 2014/03/20 C:\cygwin64\bin\cyggcr-ui-3-1.dll - os=4.0 img=0.0 sys=5.2 "cyggcr-ui-3-1.dll" v0.0 ts=2014-03-20 03:09 470k 2014/06/01 C:\cygwin64\bin\cyggcrypt-11.dll - os=4.0 img=0.0 sys=5.2 "cyggcrypt-11.dll" v0.0 ts=1970-01-01 00:00 239k 2013/04/21 C:\cygwin64\bin\cyggd-2.dll - os=4.0 img=0.0 sys=5.2 "cyggd-2.dll" v0.0 ts=2013-04-21 15:06 40k 2014/05/27 C:\cygwin64\bin\cyggdbm-4.dll - os=4.0 img=0.0 sys=5.2 "cyggdbm-4.dll" v0.0 ts=1970-01-01 00:00 13k 2014/05/27 C:\cygwin64\bin\cyggdbm_compat-4.dll - os=4.0 img=0.0 sys=5.2 "cyggdbm_compat-4.dll" v0.0 ts=1970-01-01 00:00 218k 2013/07/22 C:\cygwin64\bin\cyggdk-1-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggdk-1-2-0.dll" v0.0 ts=2013-07-22 04:00 548k 2014/07/17 C:\cygwin64\bin\cyggdk-3-0.dll - os=4.0 img=0.0 sys=5.2 "cyggdk-3-0.dll" v0.0 ts=1970-01-01 00:00 602k 2014/03/28 C:\cygwin64\bin\cyggdk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggdk-x11-2.0-0.dll" v0.0 ts=2014-03-28 17:57 159k 2013/07/22 C:\cygwin64\bin\cyggdk_imlib-1.dll - os=4.0 img=0.0 sys=5.2 "cyggdk_imlib-1.dll" v0.0 ts=2013-07-22 05:26 215k 2014/03/12 C:\cygwin64\bin\cyggdk_pixbuf-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggdk_pixbuf-2.0-0.dll" v0.0 ts=2014-03-12 08:07 67k 2013/06/19 C:\cygwin64\bin\cyggeoclue-0.dll - os=4.0 img=0.0 sys=5.2 "cyggeoclue-0.dll" v0.0 ts=2013-06-19 23:42 198k 2014/06/16 C:\cygwin64\bin\cyggettextlib-0-18-3.dll - os=4.0 img=0.0 sys=5.2 "cyggettextlib-0-18-3.dll" v0.0 ts=1970-01-01 00:00 232k 2014/06/16 C:\cygwin64\bin\cyggettextsrc-0-18-3.dll - os=4.0 img=0.0 sys=5.2 "cyggettextsrc-0-18-3.dll" v0.0 ts=1970-01-01 00:00 1110k 2014/11/13 C:\cygwin64\bin\cyggfortran-3.dll - os=4.0 img=0.0 sys=5.2 "cyggfortran-3.dll" v0.0 ts=2014-11-13 04:43 36k 2013/04/02 C:\cygwin64\bin\cyggg-1.dll - os=4.0 img=0.0 sys=5.2 "cyggg-1.dll" v0.0 ts=2013-04-02 20:27 52k 2013/04/02 C:\cygwin64\bin\cygggi-2.dll - os=4.0 img=0.0 sys=5.2 "cygggi-2.dll" v0.0 ts=2013-04-02 20:50 12k 2013/04/02 C:\cygwin64\bin\cygggimisc-2.dll - os=4.0 img=0.0 sys=5.2 "cygggimisc-2.dll" v0.0 ts=2013-04-02 20:56 12k 2013/04/02 C:\cygwin64\bin\cygggiwmh-0.dll - os=4.0 img=0.0 sys=5.2 "cygggiwmh-0.dll" v0.0 ts=2013-04-02 20:58 32k 2013/07/22 C:\cygwin64\bin\cyggif-4.dll - os=4.0 img=0.0 sys=5.2 "cyggif-4.dll" v0.0 ts=2013-07-22 05:17 29k 2013/04/02 C:\cygwin64\bin\cyggii-1.dll - os=4.0 img=0.0 sys=5.2 "cyggii-1.dll" v0.0 ts=2013-04-02 20:28 1188k 2014/09/12 C:\cygwin64\bin\cyggio-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggio-2.0-0.dll" v0.0 ts=2014-09-12 20:38 187k 2014/03/12 C:\cygwin64\bin\cyggirepository-1.0-1.dll - os=4.0 img=0.0 sys=5.2 "cyggirepository-1.0-1.dll" v0.0 ts=2014-03-12 07:32 594k 2014/11/16 C:\cygwin64\bin\cygGL-1.dll - os=4.0 img=0.0 sys=5.2 "cygGL-1.dll" v0.0 ts=2014-11-16 20:19 87k 2013/05/30 C:\cygwin64\bin\cygglade-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygglade-2.0-0.dll" v0.0 ts=2013-05-30 23:40 510k 2013/12/20 C:\cygwin64\bin\cyggladeui-1-11.dll - os=4.0 img=0.0 sys=5.2 "cyggladeui-1-11.dll" v0.0 ts=2013-12-20 06:02 609k 2014/03/20 C:\cygwin64\bin\cyggladeui-2-6.dll - os=4.0 img=0.0 sys=5.2 "cyggladeui-2-6.dll" v0.0 ts=2014-03-20 08:03 249k 2014/11/16 C:\cygwin64\bin\cygglapi-0.dll - os=4.0 img=0.0 sys=5.2 "cygglapi-0.dll" v0.0 ts=2014-11-16 20:14 25k 2014/11/16 C:\cygwin64\bin\cygGLESv1_CM-1.dll - os=4.0 img=0.0 sys=5.2 "cygGLESv1_CM-1.dll" v0.0 ts=2014-11-16 20:14 38k 2014/11/16 C:\cygwin64\bin\cygGLESv2-2.dll - os=4.0 img=0.0 sys=5.2 "cygGLESv2-2.dll" v0.0 ts=2014-11-16 20:14 149k 2013/07/22 C:\cygwin64\bin\cygglib-1-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygglib-1-2-0.dll" v0.0 ts=2013-07-22 03:11 903k 2014/09/12 C:\cygwin64\bin\cygglib-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygglib-2.0-0.dll" v0.0 ts=2014-09-12 20:35 477k 2013/04/09 C:\cygwin64\bin\cygGLU-1.dll - os=4.0 img=0.0 sys=5.2 "cygGLU-1.dll" v0.0 ts=2013-04-09 07:56 220k 2013/04/09 C:\cygwin64\bin\cygglut-3.dll - os=4.0 img=0.0 sys=5.2 "cygglut-3.dll" v0.0 ts=2013-04-09 08:57 22k 2013/07/07 C:\cygwin64\bin\cygGLw-1.dll - os=4.0 img=0.0 sys=5.2 "cygGLw-1.dll" v0.0 ts=2013-07-07 23:57 13k 2013/07/22 C:\cygwin64\bin\cyggmodule-1-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggmodule-1-2-0.dll" v0.0 ts=2013-07-22 03:11 14k 2014/09/12 C:\cygwin64\bin\cyggmodule-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggmodule-2.0-0.dll" v0.0 ts=2014-09-12 20:35 516k 2014/04/05 C:\cygwin64\bin\cyggmp-10.dll - os=4.0 img=0.0 sys=5.2 "cyggmp-10.dll" v0.0 ts=1970-01-01 00:00 23k 2014/04/05 C:\cygwin64\bin\cyggmpxx-4.dll - os=4.0 img=0.0 sys=5.2 "cyggmpxx-4.dll" v0.0 ts=1970-01-01 00:00 197k 2014/05/17 C:\cygwin64\bin\cyggnarl-4.9.dll - os=4.0 img=0.0 sys=5.2 "cyggnarl-4.9.dll" v0.0 ts=1970-01-01 00:00 2814k 2014/05/17 C:\cygwin64\bin\cyggnat-4.9.dll - os=4.0 img=0.0 sys=5.2 "cyggnat-4.9.dll" v0.0 ts=1970-01-01 00:00 75k 2013/06/04 C:\cygwin64\bin\cyggnome-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggnome-2-0.dll" v0.0 ts=2013-06-04 17:44 126k 2014/03/12 C:\cygwin64\bin\cyggnome-keyring-0.dll - os=4.0 img=0.0 sys=5.2 "cyggnome-keyring-0.dll" v0.0 ts=2014-03-12 21:20 184k 2013/05/30 C:\cygwin64\bin\cyggnomecanvas-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggnomecanvas-2-0.dll" v0.0 ts=2013-05-31 00:13 522k 2013/06/04 C:\cygwin64\bin\cyggnomeui-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggnomeui-2-0.dll" v0.0 ts=2013-06-04 18:57 331k 2014/05/23 C:\cygwin64\bin\cyggnomevfs-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggnomevfs-2-0.dll" v0.0 ts=1970-01-01 00:00 880k 2014/11/19 C:\cygwin64\bin\cyggnutls-28.dll - os=4.0 img=0.0 sys=5.2 "cyggnutls-28.dll" v0.0 ts=2014-11-19 10:20 25k 2014/11/19 C:\cygwin64\bin\cyggnutls-openssl-27.dll - os=4.0 img=0.0 sys=5.2 "cyggnutls-openssl-27.dll" v0.0 ts=2014-11-19 10:21 22k 2014/11/19 C:\cygwin64\bin\cyggnutls-xssl-0.dll - os=4.0 img=0.0 sys=5.2 "cyggnutls-xssl-0.dll" v0.0 ts=2014-11-19 10:20 43k 2014/11/19 C:\cygwin64\bin\cyggnutlsxx-28.dll - os=4.0 img=0.0 sys=5.2 "cyggnutlsxx-28.dll" v0.0 ts=2014-11-19 10:20 277k 2014/09/12 C:\cygwin64\bin\cyggobject-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggobject-2.0-0.dll" v0.0 ts=2014-09-12 20:36 48k 2014/11/13 C:\cygwin64\bin\cyggomp-1.dll - os=4.0 img=0.0 sys=5.2 "cyggomp-1.dll" v0.0 ts=2014-11-13 03:43 231k 2013/08/02 C:\cygwin64\bin\cyggoocanvas-3.dll - os=4.0 img=0.0 sys=5.2 "cyggoocanvas-3.dll" v0.0 ts=2013-08-02 20:18 19k 2014/06/01 C:\cygwin64\bin\cyggpg-error-0.dll - os=4.0 img=0.0 sys=5.2 "cyggpg-error-0.dll" v0.0 ts=1970-01-01 00:00 116k 2013/08/05 C:\cygwin64\bin\cyggraphite2-3.dll - os=4.0 img=3.0 sys=5.2 "cyggraphite2-3.dll" v0.0 ts=2013-08-05 07:33 7684k 2013/04/30 C:\cygwin64\bin\cyggs-9.dll - os=4.0 img=0.0 sys=5.2 "cyggs-9.dll" v0.0 ts=2013-04-30 12:31 1998k 2013/08/14 C:\cygwin64\bin\cyggsl-0.dll - os=4.0 img=0.0 sys=5.2 "cyggsl-0.dll" v0.0 ts=2013-08-14 20:33 247k 2013/08/14 C:\cygwin64\bin\cyggslcblas-0.dll - os=4.0 img=0.0 sys=5.2 "cyggslcblas-0.dll" v0.0 ts=2013-08-14 20:30 253k 2014/09/19 C:\cygwin64\bin\cyggssapi_krb5-2.dll - os=4.0 img=0.0 sys=5.2 "cyggssapi_krb5-2.dll" v0.0 ts=2014-09-19 18:42 95k 2014/09/19 C:\cygwin64\bin\cyggssrpc-4.dll - os=4.0 img=0.0 sys=5.2 "cyggssrpc-4.dll" v0.0 ts=2014-09-19 18:42 12k 2014/03/13 C:\cygwin64\bin\cyggstallocators-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstallocators-1.0-0.dll" v0.0 ts=2014-03-13 19:48 42k 2013/06/02 C:\cygwin64\bin\cyggstapp-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstapp-0.10-0.dll" v0.0 ts=2013-06-03 00:47 43k 2014/03/13 C:\cygwin64\bin\cyggstapp-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstapp-1.0-0.dll" v0.0 ts=2014-03-13 19:46 198k 2013/06/02 C:\cygwin64\bin\cyggstaudio-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstaudio-0.10-0.dll" v0.0 ts=2013-06-03 00:48 260k 2014/03/13 C:\cygwin64\bin\cyggstaudio-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstaudio-1.0-0.dll" v0.0 ts=2014-03-13 19:46 304k 2013/05/30 C:\cygwin64\bin\cyggstbase-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstbase-0.10-0.dll" v0.0 ts=2013-05-31 00:20 314k 2014/03/13 C:\cygwin64\bin\cyggstbase-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstbase-1.0-0.dll" v0.0 ts=2014-03-13 19:34 32k 2013/06/02 C:\cygwin64\bin\cyggstcdda-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstcdda-0.10-0.dll" v0.0 ts=2013-06-03 00:48 47k 2013/05/30 C:\cygwin64\bin\cyggstcheck-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstcheck-0.10-0.dll" v0.0 ts=2013-05-31 00:20 133k 2013/05/30 C:\cygwin64\bin\cyggstcontroller-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstcontroller-0.10-0.dll" v0.0 ts=2013-05-31 00:07 53k 2014/03/13 C:\cygwin64\bin\cyggstcontroller-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstcontroller-1.0-0.dll" v0.0 ts=2014-03-13 19:24 19k 2013/05/30 C:\cygwin64\bin\cyggstdataprotocol-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstdataprotocol-0.10-0.dll" v0.0 ts=2013-05-31 00:07 39k 2013/06/02 C:\cygwin64\bin\cyggstfft-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstfft-0.10-0.dll" v0.0 ts=2013-06-03 00:46 40k 2014/03/13 C:\cygwin64\bin\cyggstfft-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstfft-1.0-0.dll" v0.0 ts=2014-03-13 19:45 58k 2013/06/02 C:\cygwin64\bin\cyggstinterfaces-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstinterfaces-0.10-0.dll" v0.0 ts=2013-06-03 00:46 24k 2013/05/30 C:\cygwin64\bin\cyggstnet-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstnet-0.10-0.dll" v0.0 ts=2013-05-31 00:08 28k 2014/03/13 C:\cygwin64\bin\cyggstnet-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstnet-1.0-0.dll" v0.0 ts=2014-03-13 19:24 11k 2013/06/02 C:\cygwin64\bin\cyggstnetbuffer-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstnetbuffer-0.10-0.dll" v0.0 ts=2013-06-03 00:46 116k 2013/06/02 C:\cygwin64\bin\cyggstpbutils-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstpbutils-0.10-0.dll" v0.0 ts=2013-06-03 00:46 123k 2014/03/13 C:\cygwin64\bin\cyggstpbutils-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstpbutils-1.0-0.dll" v0.0 ts=2014-03-13 19:47 778k 2013/05/30 C:\cygwin64\bin\cyggstreamer-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstreamer-0.10-0.dll" v0.0 ts=2013-05-31 00:20 869k 2014/03/13 C:\cygwin64\bin\cyggstreamer-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstreamer-1.0-0.dll" v0.0 ts=2014-03-13 19:34 48k 2013/06/02 C:\cygwin64\bin\cyggstriff-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstriff-0.10-0.dll" v0.0 ts=2013-06-03 00:49 52k 2014/03/13 C:\cygwin64\bin\cyggstriff-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstriff-1.0-0.dll" v0.0 ts=2014-03-13 19:47 80k 2013/06/02 C:\cygwin64\bin\cyggstrtp-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstrtp-0.10-0.dll" v0.0 ts=2013-06-03 00:47 83k 2014/03/13 C:\cygwin64\bin\cyggstrtp-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstrtp-1.0-0.dll" v0.0 ts=2014-03-13 19:45 80k 2013/06/02 C:\cygwin64\bin\cyggstrtsp-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstrtsp-0.10-0.dll" v0.0 ts=2013-06-03 00:48 87k 2014/03/13 C:\cygwin64\bin\cyggstrtsp-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstrtsp-1.0-0.dll" v0.0 ts=2014-03-13 19:47 28k 2013/06/02 C:\cygwin64\bin\cyggstsdp-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstsdp-0.10-0.dll" v0.0 ts=2013-06-03 00:47 35k 2014/03/13 C:\cygwin64\bin\cyggstsdp-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstsdp-1.0-0.dll" v0.0 ts=2014-03-13 19:45 194k 2013/06/02 C:\cygwin64\bin\cyggsttag-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggsttag-0.10-0.dll" v0.0 ts=2013-06-03 00:47 202k 2014/03/13 C:\cygwin64\bin\cyggsttag-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggsttag-1.0-0.dll" v0.0 ts=2014-03-13 19:46 98k 2013/06/02 C:\cygwin64\bin\cyggstvideo-0.10-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstvideo-0.10-0.dll" v0.0 ts=2013-06-03 00:47 241k 2014/03/13 C:\cygwin64\bin\cyggstvideo-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggstvideo-1.0-0.dll" v0.0 ts=2014-03-13 19:46 12k 2013/07/22 C:\cygwin64\bin\cyggthread-1-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggthread-1-2-0.dll" v0.0 ts=2013-07-22 03:11 9k 2014/09/12 C:\cygwin64\bin\cyggthread-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggthread-2.0-0.dll" v0.0 ts=2014-09-12 20:36 1282k 2013/07/22 C:\cygwin64\bin\cyggtk-1-2-0.dll - os=4.0 img=0.0 sys=5.2 "cyggtk-1-2-0.dll" v0.0 ts=2013-07-22 04:01 4721k 2014/07/17 C:\cygwin64\bin\cyggtk-3-0.dll - os=4.0 img=0.0 sys=5.2 "cyggtk-3-0.dll" v0.0 ts=1970-01-01 00:00 3929k 2014/03/28 C:\cygwin64\bin\cyggtk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggtk-x11-2.0-0.dll" v0.0 ts=2014-03-28 18:03 364k 2013/06/05 C:\cygwin64\bin\cyggtksourceview-2.0-0.dll - os=4.0 img=0.0 sys=5.2 "cyggtksourceview-2.0-0.dll" v0.0 ts=2013-06-05 03:05 24k 2013/06/19 C:\cygwin64\bin\cyggtkspell-0.dll - os=4.0 img=0.0 sys=5.2 "cyggtkspell-0.dll" v0.0 ts=2013-06-19 19:52 333k 2013/06/05 C:\cygwin64\bin\cyggts-0-7-5.dll - os=4.0 img=0.0 sys=5.2 "cyggts-0-7-5.dll" v0.0 ts=2013-06-06 00:01 500k 2014/08/27 C:\cygwin64\bin\cyggvc-6.dll - os=4.0 img=0.0 sys=5.2 "cyggvc-6.dll" v0.0 ts=2014-08-27 02:36 316k 2014/01/09 C:\cygwin64\bin\cygharfbuzz-0.dll - os=4.0 img=0.0 sys=5.2 "cygharfbuzz-0.dll" v0.0 ts=2014-01-09 07:16 10k 2014/01/09 C:\cygwin64\bin\cygharfbuzz-icu-0.dll - os=4.0 img=0.0 sys=5.2 "cygharfbuzz-icu-0.dll" v0.0 ts=2014-01-09 07:16 2510k 2014/06/03 C:\cygwin64\bin\cyghdf5-8.dll - os=4.0 img=0.0 sys=5.2 "cyghdf5-8.dll" v0.0 ts=1970-01-01 00:00 254k 2014/06/03 C:\cygwin64\bin\cyghdf5_cpp-8.dll - os=4.0 img=0.0 sys=5.2 "cyghdf5_cpp-8.dll" v0.0 ts=1970-01-01 00:00 101k 2014/06/03 C:\cygwin64\bin\cyghdf5_hl-8.dll - os=4.0 img=0.0 sys=5.2 "cyghdf5_hl-8.dll" v0.0 ts=1970-01-01 00:00 10k 2014/06/03 C:\cygwin64\bin\cyghdf5_hl_cpp-8.dll - os=4.0 img=0.0 sys=5.2 "cyghdf5_hl_cpp-8.dll" v0.0 ts=1970-01-01 00:00 29k 2013/03/14 C:\cygwin64\bin\cyghistory7.dll - os=4.0 img=0.0 sys=5.2 "cyghistory7.dll" v0.0 ts=2013-03-14 11:14 166k 2013/05/14 C:\cygwin64\bin\cyghogweed-2.dll - os=4.0 img=0.0 sys=5.2 "cyghogweed-2.dll" v0.0 ts=2013-05-14 07:42 332k 2014/09/17 C:\cygwin64\bin\cyghttpd2core.dll - os=4.0 img=0.0 sys=5.2 "cyghttpd2core.dll" v0.0 ts=2014-09-18 00:17 77k 2014/08/10 C:\cygwin64\bin\cygICE-6.dll - os=4.0 img=0.0 sys=5.2 "cygICE-6.dll" v0.0 ts=1970-01-01 00:00 360k 2013/07/29 C:\cygwin64\bin\cygicons-0.dll - os=4.0 img=1.4 sys=5.2 "cygicons-0.dll" v0.0 ts=2013-07-29 02:27 998k 2013/03/07 C:\cygwin64\bin\cygiconv-2.dll - os=4.0 img=0.0 sys=5.2 "cygiconv-2.dll" v0.0 ts=2013-03-07 03:03 21806k 2013/06/25 C:\cygwin64\bin\cygicudata51.dll - os=4.0 img=0.0 sys=5.2 "cygicudata51.dll" v0.0 ts=2013-06-25 06:30 1717k 2013/06/25 C:\cygwin64\bin\cygicui18n51.dll - os=4.0 img=0.0 sys=5.2 "cygicui18n51.dll" v0.0 ts=2013-06-25 06:28 43k 2013/06/25 C:\cygwin64\bin\cygicuio51.dll - os=4.0 img=0.0 sys=5.2 "cygicuio51.dll" v0.0 ts=2013-06-25 06:30 305k 2013/06/25 C:\cygwin64\bin\cygicule51.dll - os=4.0 img=0.0 sys=5.2 "cygicule51.dll" v0.0 ts=2013-06-25 06:28 38k 2013/06/25 C:\cygwin64\bin\cygiculx51.dll - os=4.0 img=0.0 sys=5.2 "cygiculx51.dll" v0.0 ts=2013-06-25 06:28 49k 2013/06/25 C:\cygwin64\bin\cygicutest51.dll - os=4.0 img=0.0 sys=5.2 "cygicutest51.dll" v0.0 ts=2013-06-25 06:29 140k 2013/06/25 C:\cygwin64\bin\cygicutu51.dll - os=4.0 img=0.0 sys=5.2 "cygicutu51.dll" v0.0 ts=2013-06-25 06:29 1231k 2013/06/25 C:\cygwin64\bin\cygicuuc51.dll - os=4.0 img=0.0 sys=5.2 "cygicuuc51.dll" v0.0 ts=2013-06-25 06:27 78k 2013/06/05 C:\cygwin64\bin\cygid3tag-0.dll - os=4.0 img=0.0 sys=5.2 "cygid3tag-0.dll" v0.0 ts=2013-06-05 16:05 144k 2013/05/02 C:\cygwin64\bin\cygIDL-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygIDL-2-0.dll" v0.0 ts=2013-05-02 10:11 195k 2013/04/05 C:\cygwin64\bin\cygidn-11.dll - os=4.0 img=0.0 sys=5.2 "cygidn-11.dll" v0.0 ts=2013-04-05 09:58 320k 2013/06/05 C:\cygwin64\bin\cygImlib2-1.dll - os=4.0 img=0.0 sys=5.2 "cygImlib2-1.dll" v0.0 ts=2013-06-05 16:28 38k 2014/06/16 C:\cygwin64\bin\cygintl-8.dll - os=4.0 img=0.0 sys=5.2 "cygintl-8.dll" v0.0 ts=1970-01-01 00:00 294k 2013/09/09 C:\cygwin64\bin\cygiodbc-2.dll - os=4.0 img=0.0 sys=5.2 "cygiodbc-2.dll" v0.0 ts=2013-09-09 16:36 66k 2013/09/09 C:\cygwin64\bin\cygiodbcinst-2.dll - os=4.0 img=0.0 sys=5.2 "cygiodbcinst-2.dll" v0.0 ts=2013-09-09 16:35 888k 2013/05/05 C:\cygwin64\bin\cygisl-10.dll - os=4.0 img=0.0 sys=5.2 "cygisl-10.dll" v0.0 ts=2013-05-05 16:36 271k 2013/04/11 C:\cygwin64\bin\cygjasper-1.dll - os=4.0 img=0.0 sys=5.2 "cygjasper-1.dll" v0.0 ts=2013-04-11 08:18 3389k 2014/07/11 C:\cygwin64\bin\cygjavascriptcoregtk-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygjavascriptcoregtk-1.0-0.dll" v0.0 ts=1970-01-01 00:00 48k 2014/06/17 C:\cygwin64\bin\cygjbig-2.dll - os=4.0 img=0.0 sys=5.2 "cygjbig-2.dll" v0.0 ts=1970-01-01 00:00 18k 2014/06/17 C:\cygwin64\bin\cygjbig85-2.dll - os=4.0 img=0.0 sys=5.2 "cygjbig85-2.dll" v0.0 ts=1970-01-01 00:00 256k 2014/05/26 C:\cygwin64\bin\cygjpeg-8.dll - os=4.0 img=0.0 sys=5.2 "cygjpeg-8.dll" v0.0 ts=1970-01-01 00:00 35k 2014/04/09 C:\cygwin64\bin\cygjson-c-2.dll - os=4.0 img=0.0 sys=5.2 "cygjson-c-2.dll" v0.0 ts=1970-01-01 00:00 179k 2014/09/19 C:\cygwin64\bin\cygk5crypto-3.dll - os=4.0 img=0.0 sys=5.2 "cygk5crypto-3.dll" v0.0 ts=2014-09-19 18:41 66k 2014/09/19 C:\cygwin64\bin\cygkadm5clnt_mit-9.dll - os=4.0 img=0.0 sys=5.2 "cygkadm5clnt_mit-9.dll" v0.0 ts=2014-09-19 18:42 89k 2014/09/19 C:\cygwin64\bin\cygkadm5srv_mit-9.dll - os=4.0 img=0.0 sys=5.2 "cygkadm5srv_mit-9.dll" v0.0 ts=2014-09-19 18:42 73k 2013/06/02 C:\cygwin64\bin\cygkate-1.dll - os=4.0 img=0.0 sys=5.2 "cygkate-1.dll" v0.0 ts=2013-06-02 19:58 57k 2014/09/19 C:\cygwin64\bin\cygkdb5-7.dll - os=4.0 img=0.0 sys=5.2 "cygkdb5-7.dll" v0.0 ts=2014-09-19 18:42 83k 2014/06/17 C:\cygwin64\bin\cygkpathsea-6.dll - os=4.0 img=0.0 sys=5.2 "cygkpathsea-6.dll" v0.0 ts=1970-01-01 00:00 34k 2014/09/19 C:\cygwin64\bin\cygkrad-0.dll - os=4.0 img=0.0 sys=5.2 "cygkrad-0.dll" v0.0 ts=2014-09-19 18:42 701k 2014/09/19 C:\cygwin64\bin\cygkrb5-3.dll - os=4.0 img=0.0 sys=5.2 "cygkrb5-3.dll" v0.0 ts=2014-09-19 18:42 35k 2014/09/19 C:\cygwin64\bin\cygkrb5support-0.dll - os=4.0 img=0.0 sys=5.2 "cygkrb5support-0.dll" v0.0 ts=2014-09-19 18:41 48k 2014/04/07 C:\cygwin64\bin\cygLASi-1.dll - os=4.0 img=1.0 sys=5.2 "cygLASi-1.dll" v0.0 ts=1970-01-01 00:00 44k 2014/11/19 C:\cygwin64\bin\cyglber-2-4-2.dll - os=4.0 img=0.0 sys=5.2 "cyglber-2-4-2.dll" v0.0 ts=2014-11-14 15:30 188k 2013/04/10 C:\cygwin64\bin\cyglcms-1.dll - os=4.0 img=0.0 sys=5.2 "cyglcms-1.dll" v0.0 ts=2013-04-10 12:13 293k 2014/11/14 C:\cygwin64\bin\cyglcms2-2.dll - os=4.0 img=0.0 sys=5.2 "cyglcms2-2.dll" v0.0 ts=2014-11-14 12:24 245k 2014/11/19 C:\cygwin64\bin\cygldap-2-4-2.dll - os=4.0 img=0.0 sys=5.2 "cygldap-2-4-2.dll" v0.0 ts=2014-11-14 15:30 261k 2014/11/19 C:\cygwin64\bin\cygldap_r-2-4-2.dll - os=4.0 img=0.0 sys=5.2 "cygldap_r-2-4-2.dll" v0.0 ts=2014-11-14 15:31 88k 2014/03/12 C:\cygwin64\bin\cyglightcomp.dll - os=4.0 img=0.0 sys=5.2 "cyglightcomp.dll" v0.0 ts=2014-03-12 19:37 17273k 2014/10/29 C:\cygwin64\bin\cygLLVM-3.4.dll - os=4.0 img=0.0 sys=5.2 "cygLLVM-3.4.dll" v0.0 ts=2014-10-28 23:39 6k 2014/11/13 C:\cygwin64\bin\cyglsa64.dll - os=4.0 img=0.0 sys=5.2 "cyglsa64.dll" v0.0 ts=2014-11-13 14:47 34k 2014/06/22 C:\cygwin64\bin\cygltdl-7.dll - os=4.0 img=0.0 sys=5.2 "cygltdl-7.dll" v0.0 ts=1970-01-01 00:00 145k 2013/05/14 C:\cygwin64\bin\cyglua-5.1.dll - os=4.0 img=0.0 sys=5.2 "cyglua-5.1.dll" v0.0 ts=2013-05-14 02:53 129k 2014/05/29 C:\cygwin64\bin\cyglzma-5.dll - os=4.0 img=0.0 sys=5.2 "cyglzma-5.dll" v0.0 ts=1970-01-01 00:00 126k 2014/11/17 C:\cygwin64\bin\cyglzo2-2.dll - os=4.0 img=0.0 sys=5.2 "cyglzo2-2.dll" v0.0 ts=2014-11-17 11:24 107k 2014/10/29 C:\cygwin64\bin\cygmagic-1.dll - os=4.0 img=0.0 sys=5.2 "cygmagic-1.dll" v0.0 ts=2014-10-29 20:44 291k 2013/10/12 C:\cygwin64\bin\cygMagick++-5.dll - os=4.0 img=0.0 sys=5.2 "cygMagick++-5.dll" v0.0 ts=2013-10-12 18:21 4157k 2013/10/12 C:\cygwin64\bin\cygMagickCore-5.dll - os=4.0 img=0.0 sys=5.2 "cygMagickCore-5.dll" v0.0 ts=2013-10-12 18:17 1088k 2013/10/12 C:\cygwin64\bin\cygMagickWand-5.dll - os=4.0 img=0.0 sys=5.2 "cygMagickWand-5.dll" v0.0 ts=2013-10-12 18:19 158k 2014/08/12 C:\cygwin64\bin\cygman-2-6-7.dll - os=4.0 img=0.0 sys=5.2 "cygman-2-6-7.dll" v0.0 ts=1970-01-01 00:00 132k 2014/01/21 C:\cygwin64\bin\cygmcpp-0.dll - os=4.0 img=0.0 sys=5.2 "cygmcpp-0.dll" v0.0 ts=2014-01-21 18:41 155k 2013/05/29 C:\cygwin64\bin\cygmcrypt-4.dll - os=4.0 img=0.0 sys=5.2 "cygmcrypt-4.dll" v0.0 ts=2013-05-29 14:09 30k 2014/05/26 C:\cygwin64\bin\cygmenuw-10.dll - os=4.0 img=0.0 sys=5.2 "cygmenuw-10.dll" v0.0 ts=1970-01-01 00:00 331k 2013/09/03 C:\cygwin64\bin\cygming-1.dll - os=4.0 img=0.0 sys=5.2 "cygming-1.dll" v0.0 ts=2013-09-03 12:51 370k 2013/05/02 C:\cygwin64\bin\cygmng-1.dll - os=4.0 img=0.0 sys=5.2 "cygmng-1.dll" v0.0 ts=2013-05-02 12:20 87k 2014/04/05 C:\cygwin64\bin\cygmpc-3.dll - os=4.0 img=0.0 sys=5.2 "cygmpc-3.dll" v0.0 ts=1970-01-01 00:00 319k 2013/05/05 C:\cygwin64\bin\cygmpfr-4.dll - os=4.0 img=0.0 sys=5.2 "cygmpfr-4.dll" v0.0 ts=2013-05-05 13:40 119k 2014/08/10 C:\cygwin64\bin\cygMrm-4.dll - os=4.0 img=0.0 sys=5.2 "cygMrm-4.dll" v0.0 ts=1970-01-01 00:00 2835k 2014/11/07 C:\cygwin64\bin\cygmysqlclient-18.dll - os=4.0 img=18.0 sys=5.2 "cygmysqlclient-18.dll" v0.0 ts=2014-11-07 04:18 452k 2014/11/11 C:\cygwin64\bin\cygnativeGLthunk.dll - os=4.0 img=0.0 sys=5.2 "cygnativeGLthunk.dll" v0.0 ts=2014-11-11 14:42 53k 2014/05/26 C:\cygwin64\bin\cygncurses++w-10.dll - os=4.0 img=0.0 sys=5.2 "cygncurses++w-10.dll" v0.0 ts=1970-01-01 00:00 299k 2014/05/26 C:\cygwin64\bin\cygncursesw-10.dll - os=4.0 img=0.0 sys=5.2 "cygncursesw-10.dll" v0.0 ts=1970-01-01 00:00 131k 2014/11/14 C:\cygwin64\bin\cygneon-27.dll - os=4.0 img=0.0 sys=5.2 "cygneon-27.dll" v0.0 ts=2014-11-14 13:02 188k 2013/06/05 C:\cygwin64\bin\cygnetpbm-10.dll - os=4.0 img=0.0 sys=5.2 "cygnetpbm-10.dll" v0.0 ts=2013-06-05 16:55 566k 2013/07/05 C:\cygwin64\bin\cygnetsnmp-30.dll - os=4.0 img=0.0 sys=5.2 "cygnetsnmp-30.dll" v0.0 ts=2013-07-05 16:28 334k 2013/07/05 C:\cygwin64\bin\cygnetsnmpagent-30.dll - os=4.0 img=0.0 sys=5.2 "cygnetsnmpagent-30.dll" v0.0 ts=2013-07-05 16:29 7k 2013/07/05 C:\cygwin64\bin\cygnetsnmphelpers-30.dll - os=4.0 img=0.0 sys=5.2 "cygnetsnmphelpers-30.dll" v0.0 ts=2013-07-05 16:29 449k 2013/07/05 C:\cygwin64\bin\cygnetsnmpmibs-30.dll - os=4.0 img=0.0 sys=5.2 "cygnetsnmpmibs-30.dll" v0.0 ts=2013-07-05 16:30 38k 2013/07/05 C:\cygwin64\bin\cygnetsnmptrapd-30.dll - os=4.0 img=0.0 sys=5.2 "cygnetsnmptrapd-30.dll" v0.0 ts=2013-07-05 16:30 177k 2013/05/14 C:\cygwin64\bin\cygnettle-4.dll - os=4.0 img=0.0 sys=5.2 "cygnettle-4.dll" v0.0 ts=2013-05-14 07:42 220k 2014/10/19 C:\cygwin64\bin\cygnspr4.dll - os=4.0 img=0.0 sys=5.2 "cygnspr4.dll" v0.0 ts=2014-10-19 14:16 1271k 2014/10/19 C:\cygwin64\bin\cygnss3.dll - os=4.0 img=0.0 sys=5.2 "cygnss3.dll" v0.0 ts=2014-10-19 14:20 164k 2014/10/19 C:\cygwin64\bin\cygnssdbm3.dll - os=4.0 img=0.0 sys=5.2 "cygnssdbm3.dll" v0.0 ts=2014-10-19 14:18 148k 2014/10/19 C:\cygwin64\bin\cygnssutil3.dll - os=4.0 img=0.0 sys=5.2 "cygnssutil3.dll" v0.0 ts=2014-10-19 14:18 123k 2014/11/13 C:\cygwin64\bin\cygobjc-4.dll - os=4.0 img=0.0 sys=5.2 "cygobjc-4.dll" v0.0 ts=2014-11-13 04:46 104k 2014/11/27 C:\cygwin64\bin\cygobrender-29.dll - os=4.0 img=0.0 sys=5.2 "cygobrender-29.dll" v0.0 ts=2014-11-27 19:32 54k 2014/11/27 C:\cygwin64\bin\cygobt-2.dll - os=4.0 img=0.0 sys=5.2 "cygobt-2.dll" v0.0 ts=2014-11-27 19:32 22k 2013/06/01 C:\cygwin64\bin\cygogg-0.dll - os=4.0 img=0.0 sys=5.2 "cygogg-0.dll" v0.0 ts=2013-06-01 17:40 10k 2013/06/02 C:\cygwin64\bin\cygoggkate-1.dll - os=4.0 img=0.0 sys=5.2 "cygoggkate-1.dll" v0.0 ts=2013-06-02 19:58 58k 2013/06/04 C:\cygwin64\bin\cygogrove-1.dll - os=4.0 img=0.0 sys=5.2 "cygogrove-1.dll" v0.0 ts=2013-06-04 21:36 123k 2013/04/27 C:\cygwin64\bin\cygopencdk-10.dll - os=4.0 img=0.0 sys=5.2 "cygopencdk-10.dll" v0.0 ts=2013-04-27 11:48 123k 2014/01/31 C:\cygwin64\bin\cygopenjpeg-1.dll - os=4.0 img=1.5 sys=5.2 "cygopenjpeg-1.dll" v0.0 ts=2014-01-31 05:12 30k 2014/11/16 C:\cygwin64\bin\cygOpenVG-1.dll - os=4.0 img=0.0 sys=5.2 "cygOpenVG-1.dll" v0.0 ts=2014-11-16 20:15 317k 2013/05/06 C:\cygwin64\bin\cygORBit-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygORBit-2-0.dll" v0.0 ts=2013-05-06 02:46 34k 2013/05/06 C:\cygwin64\bin\cygORBit-imodule-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygORBit-imodule-2-0.dll" v0.0 ts=2013-05-06 02:46 22k 2013/05/06 C:\cygwin64\bin\cygORBitCosNaming-2-0.dll - os=4.0 img=0.0 sys=5.2 "cygORBitCosNaming-2-0.dll" v0.0 ts=2013-05-06 02:46 474k 2013/11/12 C:\cygwin64\bin\cygorc-0.4-0.dll - os=4.0 img=0.0 sys=5.2 "cygorc-0.4-0.dll" v0.0 ts=2013-11-12 10:46 27k 2013/11/12 C:\cygwin64\bin\cygorc-test-0.4-0.dll - os=4.0 img=0.0 sys=5.2 "cygorc-test-0.4-0.dll" v0.0 ts=2013-11-12 10:46 3687k 2014/11/16 C:\cygwin64\bin\cygOSMesa-8.dll - os=4.0 img=0.0 sys=5.2 "cygOSMesa-8.dll" v0.0 ts=2014-11-16 20:18 1486k 2013/06/04 C:\cygwin64\bin\cygosp-5.dll - os=4.0 img=0.0 sys=5.2 "cygosp-5.dll" v0.0 ts=2013-06-04 21:16 344k 2013/06/04 C:\cygwin64\bin\cygospgrove-1.dll - os=4.0 img=0.0 sys=5.2 "cygospgrove-1.dll" v0.0 ts=2013-06-04 21:36 1810k 2013/06/04 C:\cygwin64\bin\cygostyle-1.dll - os=4.0 img=0.0 sys=5.2 "cygostyle-1.dll" v0.0 ts=2013-06-04 21:37 220k 2014/10/19 C:\cygwin64\bin\cygp11-kit-0.dll - os=4.0 img=0.0 sys=5.2 "cygp11-kit-0.dll" v0.0 ts=2014-10-19 14:36 15k 2014/05/26 C:\cygwin64\bin\cygpanelw-10.dll - os=4.0 img=0.0 sys=5.2 "cygpanelw-10.dll" v0.0 ts=1970-01-01 00:00 261k 2014/03/20 C:\cygwin64\bin\cygpango-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygpango-1.0-0.dll" v0.0 ts=2014-03-20 10:59 41k 2014/03/20 C:\cygwin64\bin\cygpangocairo-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygpangocairo-1.0-0.dll" v0.0 ts=2014-03-20 10:59 69k 2014/03/20 C:\cygwin64\bin\cygpangoft2-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygpangoft2-1.0-0.dll" v0.0 ts=2014-03-20 10:59 27k 2014/03/20 C:\cygwin64\bin\cygpangoxft-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygpangoxft-1.0-0.dll" v0.0 ts=2014-03-20 10:59 11k 2013/07/23 C:\cygwin64\bin\cygpaper-1.dll - os=4.0 img=0.0 sys=5.2 "cygpaper-1.dll" v0.0 ts=2013-07-23 05:40 33k 2014/08/27 C:\cygwin64\bin\cygpathplan-4.dll - os=4.0 img=0.0 sys=5.2 "cygpathplan-4.dll" v0.0 ts=2014-08-27 02:35 273k 2014/08/27 C:\cygwin64\bin\cygpcre-1.dll - os=4.0 img=0.0 sys=5.2 "cygpcre-1.dll" v0.0 ts=2014-08-28 00:23 250k 2014/08/27 C:\cygwin64\bin\cygpcre16-0.dll - os=4.0 img=0.0 sys=5.2 "cygpcre16-0.dll" v0.0 ts=2014-08-28 00:23 243k 2014/08/27 C:\cygwin64\bin\cygpcre32-0.dll - os=4.0 img=0.0 sys=5.2 "cygpcre32-0.dll" v0.0 ts=2014-08-28 00:23 31k 2014/08/27 C:\cygwin64\bin\cygpcrecpp-0.dll - os=4.0 img=0.0 sys=5.2 "cygpcrecpp-0.dll" v0.0 ts=2014-08-28 00:23 11k 2014/08/27 C:\cygwin64\bin\cygpcreposix-0.dll - os=4.0 img=0.0 sys=5.2 "cygpcreposix-0.dll" v0.0 ts=2014-08-28 00:23 1580k 2013/03/11 C:\cygwin64\bin\cygperl5_14.dll - os=4.0 img=0.0 sys=5.2 "cygperl5_14.dll" v0.0 ts=2013-03-12 00:25 391k 2014/11/18 C:\cygwin64\bin\cygphonon-4.dll - os=4.0 img=4.8 sys=5.2 "cygphonon-4.dll" v0.0 ts=2014-11-18 17:26 86k 2014/11/18 C:\cygwin64\bin\cygphononexperimental-4.dll - os=4.0 img=4.8 sys=5.2 "cygphononexperimental-4.dll" v0.0 ts=2014-11-18 17:26 38k 2014/05/12 C:\cygwin64\bin\cygpipeline-1.dll - os=4.0 img=0.0 sys=5.2 "cygpipeline-1.dll" v0.0 ts=1970-01-01 00:00 654k 2014/11/16 C:\cygwin64\bin\cygpixman-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygpixman-1-0.dll" v0.0 ts=2014-11-16 22:16 17k 2014/10/19 C:\cygwin64\bin\cygplc4.dll - os=4.0 img=0.0 sys=5.2 "cygplc4.dll" v0.0 ts=2014-10-19 14:16 14k 2014/10/19 C:\cygwin64\bin\cygplds4.dll - os=4.0 img=0.0 sys=5.2 "cygplds4.dll" v0.0 ts=2014-10-19 14:16 1157k 2014/02/02 C:\cygwin64\bin\cygplot-2.dll - os=4.0 img=0.0 sys=5.2 "cygplot-2.dll" v0.0 ts=2014-02-02 16:27 148k 2014/05/26 C:\cygwin64\bin\cygpng15-15.dll - os=4.0 img=0.0 sys=5.2 "cygpng15-15.dll" v0.0 ts=1970-01-01 00:00 103k 2014/11/16 C:\cygwin64\bin\cygPocoCrypto.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoCrypto.16.dll" v0.0 ts=2014-11-16 22:42 104k 2014/11/27 C:\cygwin64\bin\cygPocoCrypto.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoCrypto.17.dll" v0.0 ts=2014-11-27 18:06 244k 2014/11/16 C:\cygwin64\bin\cygPocoData.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoData.16.dll" v0.0 ts=2014-11-16 22:43 255k 2014/11/27 C:\cygwin64\bin\cygPocoData.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoData.17.dll" v0.0 ts=2014-11-27 18:09 78k 2014/11/16 C:\cygwin64\bin\cygPocoDataMySQL.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoDataMySQL.16.dll" v0.0 ts=2014-11-16 22:59 81k 2014/11/27 C:\cygwin64\bin\cygPocoDataMySQL.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoDataMySQL.17.dll" v0.0 ts=2014-11-27 18:17 162k 2014/11/16 C:\cygwin64\bin\cygPocoDataODBC.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoDataODBC.16.dll" v0.0 ts=2014-11-16 22:58 164k 2014/11/27 C:\cygwin64\bin\cygPocoDataODBC.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoDataODBC.17.dll" v0.0 ts=2014-11-27 18:16 141k 2014/11/16 C:\cygwin64\bin\cygPocoDataSQLite.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoDataSQLite.16.dll" v0.0 ts=2014-11-16 22:57 142k 2014/11/27 C:\cygwin64\bin\cygPocoDataSQLite.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoDataSQLite.17.dll" v0.0 ts=2014-11-27 18:14 955k 2014/11/16 C:\cygwin64\bin\cygPocoFoundation.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoFoundation.16.dll" v0.0 ts=2014-11-16 22:38 1009k 2014/11/27 C:\cygwin64\bin\cygPocoFoundation.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoFoundation.17.dll" v0.0 ts=2014-11-27 18:04 671k 2014/11/16 C:\cygwin64\bin\cygPocoNet.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoNet.16.dll" v0.0 ts=2014-11-16 22:43 691k 2014/11/27 C:\cygwin64\bin\cygPocoNet.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoNet.17.dll" v0.0 ts=2014-11-27 18:13 199k 2014/11/16 C:\cygwin64\bin\cygPocoNetSSL.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoNetSSL.16.dll" v0.0 ts=2014-11-16 23:10 214k 2014/11/27 C:\cygwin64\bin\cygPocoNetSSL.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoNetSSL.17.dll" v0.0 ts=2014-11-27 18:35 241k 2014/11/16 C:\cygwin64\bin\cygPocoUtil.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoUtil.16.dll" v0.0 ts=2014-11-16 22:56 253k 2014/11/27 C:\cygwin64\bin\cygPocoUtil.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoUtil.17.dll" v0.0 ts=2014-11-27 18:15 290k 2014/11/16 C:\cygwin64\bin\cygPocoXML.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoXML.16.dll" v0.0 ts=2014-11-16 22:41 291k 2014/11/27 C:\cygwin64\bin\cygPocoXML.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoXML.17.dll" v0.0 ts=2014-11-27 18:10 204k 2014/11/16 C:\cygwin64\bin\cygPocoZip.16.dll - os=4.0 img=0.0 sys=5.2 "cygPocoZip.16.dll" v0.0 ts=2014-11-16 23:00 220k 2014/11/27 C:\cygwin64\bin\cygPocoZip.17.dll - os=4.0 img=0.0 sys=5.2 "cygPocoZip.17.dll" v0.0 ts=2014-11-27 18:19 1897k 2014/02/23 C:\cygwin64\bin\cygpoppler-44.dll - os=4.0 img=0.0 sys=5.2 "cygpoppler-44.dll" v0.0 ts=2014-02-23 20:36 1971k 2014/10/29 C:\cygwin64\bin\cygpoppler-46.dll - os=4.0 img=0.0 sys=5.2 "cygpoppler-46.dll" v0.0 ts=2014-10-29 15:57 272k 2014/10/29 C:\cygwin64\bin\cygpoppler-glib-8.dll - os=4.0 img=0.0 sys=5.2 "cygpoppler-glib-8.dll" v0.0 ts=2014-10-29 15:58 41k 2013/10/21 C:\cygwin64\bin\cygpopt-0.dll - os=4.0 img=0.0 sys=5.2 "cygpopt-0.dll" v0.0 ts=2013-10-21 21:52 145k 2014/08/16 C:\cygwin64\bin\cygpq-5.dll - os=4.0 img=0.0 sys=5.2 "cygpq-5.dll" v0.0 ts=2014-08-16 23:23 122k 2013/04/21 C:\cygwin64\bin\cygproxy-1.dll - os=4.0 img=1.0 sys=5.2 "cygproxy-1.dll" v0.0 ts=2013-04-21 10:24 8k 2013/04/30 C:\cygwin64\bin\cygpspell-15.dll - os=4.0 img=0.0 sys=5.2 "cygpspell-15.dll" v0.0 ts=2013-04-30 08:12 349k 2013/04/28 C:\cygwin64\bin\cygpstoedit-0.dll - os=4.0 img=0.0 sys=5.2 "cygpstoedit-0.dll" v0.0 ts=2013-04-28 09:25 37k 2014/06/17 C:\cygwin64\bin\cygptexenc-1.dll - os=4.0 img=0.0 sys=5.2 "cygptexenc-1.dll" v0.0 ts=1970-01-01 00:00 280k 2014/11/18 C:\cygwin64\bin\cygpulse-0.dll - os=4.0 img=0.0 sys=5.2 "cygpulse-0.dll" v0.0 ts=2014-11-18 16:58 16k 2014/11/18 C:\cygwin64\bin\cygpulse-mainloop-glib-0.dll - os=4.0 img=0.0 sys=5.2 "cygpulse-mainloop-glib-0.dll" v0.0 ts=2014-11-18 16:58 407k 2014/11/18 C:\cygwin64\bin\cygpulsecommon-5.0.dll - os=4.0 img=0.0 sys=5.2 "cygpulsecommon-5.0.dll" v0.0 ts=2014-11-18 16:58 15k 2013/10/24 C:\cygwin64\bin\cygpyglib-2.0-python2.7-0.dll - os=4.0 img=0.0 sys=5.2 "cygpyglib-2.0-python2.7-0.dll" v0.0 ts=2013-10-24 20:39 14k 2014/03/20 C:\cygwin64\bin\cygpyglib-gi-2.0-python2.7-0.dll - os=4.0 img=0.0 sys=5.2 "cygpyglib-gi-2.0-python2.7-0.dll" v0.0 ts=2014-03-20 07:48 14k 2014/03/20 C:\cygwin64\bin\cygpyglib-gi-2.0-python3.2m-0.dll - os=4.0 img=0.0 sys=5.2 "cygpyglib-gi-2.0-python3.2m-0.dll" v0.0 ts=2014-03-20 07:50 310k 2013/03/18 C:\cygwin64\bin\cygqhull-6.dll - os=4.0 img=0.0 sys=5.2 "cygqhull-6.dll" v0.0 ts=2013-03-18 10:56 319k 2013/03/18 C:\cygwin64\bin\cygqhull_p-6.dll - os=4.0 img=0.0 sys=5.2 "cygqhull_p-6.dll" v0.0 ts=2013-03-18 10:56 6034k 2014/06/23 C:\cygwin64\bin\cygqt-mt-3.dll - os=4.0 img=0.0 sys=5.2 "cygqt-mt-3.dll" v0.0 ts=1970-01-01 00:00 1184k 2014/09/12 C:\cygwin64\bin\cygQtCLucene-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtCLucene-4.dll" v0.0 ts=2014-09-12 21:12 2696k 2014/09/12 C:\cygwin64\bin\cygQtCore-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtCore-4.dll" v0.0 ts=2014-09-12 19:41 467k 2014/09/12 C:\cygwin64\bin\cygQtDBus-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtDBus-4.dll" v0.0 ts=2014-09-12 19:43 3740k 2014/09/12 C:\cygwin64\bin\cygQtDeclarative-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtDeclarative-4.dll" v0.0 ts=2014-09-12 20:00 4995k 2014/09/12 C:\cygwin64\bin\cygQtDesigner-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtDesigner-4.dll" v0.0 ts=2014-09-12 21:18 2707k 2014/09/12 C:\cygwin64\bin\cygQtDesignerComponents-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtDesignerComponents-4.dll" v0.0 ts=2014-09-12 21:27 9967k 2014/09/12 C:\cygwin64\bin\cygQtGui-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtGui-4.dll" v0.0 ts=2014-09-12 19:47 503k 2014/09/12 C:\cygwin64\bin\cygQtHelp-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtHelp-4.dll" v0.0 ts=2014-09-12 21:13 135k 2014/09/12 C:\cygwin64\bin\cygQtMultimedia-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtMultimedia-4.dll" v0.0 ts=2014-09-12 19:55 1241k 2014/09/12 C:\cygwin64\bin\cygQtNetwork-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtNetwork-4.dll" v0.0 ts=2014-09-12 19:42 946k 2014/09/12 C:\cygwin64\bin\cygQtOpenGL-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtOpenGL-4.dll" v0.0 ts=2014-09-12 19:51 2124k 2014/09/12 C:\cygwin64\bin\cygQtScript-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtScript-4.dll" v0.0 ts=2014-09-12 19:57 752k 2014/09/12 C:\cygwin64\bin\cygQtScriptTools-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtScriptTools-4.dll" v0.0 ts=2014-09-12 21:09 225k 2014/09/12 C:\cygwin64\bin\cygQtSql-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtSql-4.dll" v0.0 ts=2014-09-12 19:42 320k 2014/09/12 C:\cygwin64\bin\cygQtSvg-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtSvg-4.dll" v0.0 ts=2014-09-12 19:55 129k 2014/09/12 C:\cygwin64\bin\cygQtTest-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtTest-4.dll" v0.0 ts=2014-09-12 19:42 25211k 2014/09/12 C:\cygwin64\bin\cygQtWebKit-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtWebKit-4.dll" v0.0 ts=2014-09-12 21:05 248k 2014/09/12 C:\cygwin64\bin\cygQtXml-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtXml-4.dll" v0.0 ts=2014-09-12 19:41 4411k 2014/09/12 C:\cygwin64\bin\cygQtXmlPatterns-4.dll - os=4.0 img=0.0 sys=5.2 "cygQtXmlPatterns-4.dll" v0.0 ts=2014-09-12 19:54 303k 2014/11/13 C:\cygwin64\bin\cygquadmath-0.dll - os=4.0 img=0.0 sys=5.2 "cygquadmath-0.dll" v0.0 ts=2014-11-13 04:21 158k 2014/06/23 C:\cygwin64\bin\cygqui-1.dll - os=4.0 img=0.0 sys=5.2 "cygqui-1.dll" v0.0 ts=1970-01-01 00:00 122k 2014/09/04 C:\cygwin64\bin\cygqzeitgeist-1.dll - os=4.0 img=0.8 sys=5.2 "cygqzeitgeist-1.dll" v0.0 ts=2014-09-04 18:36 83k 2013/06/05 C:\cygwin64\bin\cygrarian-0.dll - os=4.0 img=0.0 sys=5.2 "cygrarian-0.dll" v0.0 ts=2013-06-05 01:24 193k 2013/03/14 C:\cygwin64\bin\cygreadline7.dll - os=4.0 img=0.0 sys=5.2 "cygreadline7.dll" v0.0 ts=2013-03-14 11:14 200k 2014/03/12 C:\cygwin64\bin\cygrsvg-2-2.dll - os=4.0 img=0.0 sys=5.2 "cygrsvg-2-2.dll" v0.0 ts=2014-03-13 00:52 46k 2013/06/09 C:\cygwin64\bin\cygrsync-1.dll - os=4.0 img=0.0 sys=5.2 "cygrsync-1.dll" v0.0 ts=2013-06-09 04:36 2044k 2014/11/13 C:\cygwin64\bin\cygruby200.dll - os=4.0 img=0.0 sys=5.2 "cygruby200.dll" v2.0 ts=2014-11-13 15:28 97k 2014/11/24 C:\cygwin64\bin\cygsasl2-3.dll - os=4.0 img=0.0 sys=5.2 "cygsasl2-3.dll" v0.0 ts=2014-11-24 18:22 248k 2014/03/12 C:\cygwin64\bin\cygsecret-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsecret-1-0.dll" v0.0 ts=2014-03-12 21:29 83k 2014/08/12 C:\cygwin64\bin\cygserf-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygserf-1-0.dll" v0.0 ts=1970-01-01 00:00 28k 2014/01/14 C:\cygwin64\bin\cygSM-6.dll - os=4.0 img=0.0 sys=5.2 "cygSM-6.dll" v0.0 ts=2014-01-14 23:23 88k 2014/12/01 C:\cygwin64\bin\cygsmartcols-1.dll - os=4.0 img=0.0 sys=5.2 "cygsmartcols-1.dll" v0.0 ts=2014-12-01 23:56 320k 2013/04/26 C:\cygwin64\bin\cygsmi-2.dll - os=4.0 img=0.0 sys=5.2 "cygsmi-2.dll" v0.0 ts=2013-04-26 15:24 164k 2014/10/19 C:\cygwin64\bin\cygsmime3.dll - os=4.0 img=0.0 sys=5.2 "cygsmime3.dll" v0.0 ts=2014-10-19 14:20 364k 2013/06/03 C:\cygwin64\bin\cygsndfile-1.dll - os=4.0 img=0.0 sys=5.2 "cygsndfile-1.dll" v0.0 ts=2013-06-03 20:54 566k 2013/07/05 C:\cygwin64\bin\cygsnmp-30.dll - os=4.0 img=0.0 sys=5.2 "cygsnmp-30.dll" v0.0 ts=2013-07-05 16:32 263k 2014/10/19 C:\cygwin64\bin\cygsoftokn3.dll - os=4.0 img=0.0 sys=5.2 "cygsoftokn3.dll" v0.0 ts=2014-10-19 14:18 564k 2014/04/02 C:\cygwin64\bin\cygsoup-2.4-1.dll - os=4.0 img=0.0 sys=5.2 "cygsoup-2.4-1.dll" v0.0 ts=1970-01-01 00:00 677k 2014/09/01 C:\cygwin64\bin\cygsource-highlight-4.dll - os=4.0 img=0.0 sys=5.2 "cygsource-highlight-4.dll" v0.0 ts=2014-09-01 08:30 94k 2013/06/01 C:\cygwin64\bin\cygspeex-1.dll - os=4.0 img=0.0 sys=5.2 "cygspeex-1.dll" v0.0 ts=2013-06-02 00:50 69k 2013/06/01 C:\cygwin64\bin\cygspeexdsp-1.dll - os=4.0 img=0.0 sys=5.2 "cygspeexdsp-1.dll" v0.0 ts=2013-06-02 00:50 743k 2014/11/20 C:\cygwin64\bin\cygsqlite3-0.dll - os=4.0 img=0.0 sys=5.2 "cygsqlite3-0.dll" v0.0 ts=2014-11-20 10:19 142k 2014/11/09 C:\cygwin64\bin\cygssh2-1.dll - os=4.0 img=0.0 sys=5.2 "cygssh2-1.dll" v0.0 ts=2014-11-09 21:20 381k 2014/10/16 C:\cygwin64\bin\cygssl-1.0.0.dll - os=4.0 img=0.0 sys=5.2 "cygssl-1.0.0.dll" v0.0 ts=2014-10-16 18:11 337k 2014/10/19 C:\cygwin64\bin\cygssl3.dll - os=4.0 img=0.0 sys=5.2 "cygssl3.dll" v0.0 ts=2014-10-19 14:20 12k 2014/11/13 C:\cygwin64\bin\cygssp-0.dll - os=4.0 img=0.0 sys=5.2 "cygssp-0.dll" v0.0 ts=2014-11-13 04:17 30k 2013/06/05 C:\cygwin64\bin\cygstartup-notification-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygstartup-notification-1-0.dll" v0.0 ts=2013-06-06 00:39 892k 2014/11/13 C:\cygwin64\bin\cygstdc++-6.dll - os=4.0 img=0.0 sys=5.2 "cygstdc++-6.dll" v0.0 ts=2014-11-13 03:42 13k 2014/08/29 C:\cygwin64\bin\cygsvn_auth_gnome_keyring-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_auth_gnome_keyring-1-0.dll" v0.0 ts=2014-08-30 00:10 358k 2014/08/29 C:\cygwin64\bin\cygsvn_client-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_client-1-0.dll" v0.0 ts=2014-08-30 00:11 63k 2014/08/29 C:\cygwin64\bin\cygsvn_delta-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_delta-1-0.dll" v0.0 ts=2014-08-30 00:10 70k 2014/08/29 C:\cygwin64\bin\cygsvn_diff-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_diff-1-0.dll" v0.0 ts=2014-08-30 00:10 31k 2014/08/29 C:\cygwin64\bin\cygsvn_fs-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_fs-1-0.dll" v0.0 ts=2014-08-30 00:10 150k 2014/08/29 C:\cygwin64\bin\cygsvn_fs_base-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_fs_base-1-0.dll" v0.0 ts=2014-08-30 00:10 180k 2014/08/29 C:\cygwin64\bin\cygsvn_fs_fs-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_fs_fs-1-0.dll" v0.0 ts=2014-08-30 00:10 10k 2014/08/29 C:\cygwin64\bin\cygsvn_fs_util-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_fs_util-1-0.dll" v0.0 ts=2014-08-30 00:10 46k 2014/08/29 C:\cygwin64\bin\cygsvn_ra-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_ra-1-0.dll" v0.0 ts=2014-08-30 00:11 30k 2014/08/29 C:\cygwin64\bin\cygsvn_ra_local-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_ra_local-1-0.dll" v0.0 ts=2014-08-30 00:11 164k 2014/08/29 C:\cygwin64\bin\cygsvn_ra_serf-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_ra_serf-1-0.dll" v0.0 ts=2014-08-30 00:10 95k 2014/08/29 C:\cygwin64\bin\cygsvn_ra_svn-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_ra_svn-1-0.dll" v0.0 ts=2014-08-30 00:10 182k 2014/08/29 C:\cygwin64\bin\cygsvn_repos-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_repos-1-0.dll" v0.0 ts=2014-08-30 00:11 381k 2014/08/29 C:\cygwin64\bin\cygsvn_subr-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_subr-1-0.dll" v0.0 ts=2014-08-30 00:10 38k 2014/08/29 C:\cygwin64\bin\cygsvn_swig_perl-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_swig_perl-1-0.dll" v0.0 ts=2014-08-30 00:14 77k 2014/08/29 C:\cygwin64\bin\cygsvn_swig_py-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_swig_py-1-0.dll" v0.0 ts=2014-08-30 00:16 60k 2014/08/29 C:\cygwin64\bin\cygsvn_swig_ruby-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_swig_ruby-1-0.dll" v0.0 ts=2014-08-30 00:12 622k 2014/08/29 C:\cygwin64\bin\cygsvn_wc-1-0.dll - os=4.0 img=0.0 sys=5.2 "cygsvn_wc-1-0.dll" v0.0 ts=2014-08-30 00:10 358k 2014/05/19 C:\cygwin64\bin\cygsybdb-5.dll - os=4.0 img=0.0 sys=5.2 "cygsybdb-5.dll" v0.0 ts=1970-01-01 00:00 242k 2013/04/26 C:\cygwin64\bin\cygt1-5.dll - os=4.0 img=0.0 sys=5.2 "cygt1-5.dll" v0.0 ts=2013-04-25 17:35 19k 2013/04/26 C:\cygwin64\bin\cygt1x-5.dll - os=4.0 img=0.0 sys=5.2 "cygt1x-5.dll" v0.0 ts=2013-04-25 17:35 64k 2014/11/13 C:\cygwin64\bin\cygtasn1-6.dll - os=4.0 img=0.0 sys=5.2 "cygtasn1-6.dll" v0.0 ts=2014-11-13 18:20 65k 2013/06/02 C:\cygwin64\bin\cygtdb-1.dll - os=4.0 img=0.0 sys=5.2 "cygtdb-1.dll" v0.0 ts=2013-06-02 21:35 32k 2014/11/12 C:\cygwin64\bin\cygthai-0.dll - os=4.0 img=0.0 sys=5.2 "cygthai-0.dll" v0.0 ts=2014-11-12 16:31 53k 2014/05/26 C:\cygwin64\bin\cygticw-10.dll - os=4.0 img=0.0 sys=5.2 "cygticw-10.dll" v0.0 ts=1970-01-01 00:00 363k 2014/05/15 C:\cygwin64\bin\cygtiff-5.dll - os=4.0 img=0.0 sys=5.2 "cygtiff-5.dll" v0.0 ts=1970-01-01 00:00 415k 2014/05/30 C:\cygwin64\bin\cygtiff-6.dll - os=4.0 img=0.0 sys=5.2 "cygtiff-6.dll" v0.0 ts=1970-01-01 00:00 12k 2014/05/15 C:\cygwin64\bin\cygtiffxx-5.dll - os=4.0 img=0.0 sys=5.2 "cygtiffxx-5.dll" v0.0 ts=1970-01-01 00:00 12k 2014/05/30 C:\cygwin64\bin\cygtiffxx-6.dll - os=4.0 img=0.0 sys=5.2 "cygtiffxx-6.dll" v0.0 ts=1970-01-01 00:00 59k 2014/09/30 C:\cygwin64\bin\cygtinyxml2-2.dll - os=4.0 img=2.2 sys=5.2 "cygtinyxml2-2.dll" v0.0 ts=2014-09-30 23:02 92k 2014/05/04 C:\cygwin64\bin\cygudunits2-0.dll - os=4.0 img=0.0 sys=5.2 "cygudunits2-0.dll" v0.0 ts=1970-01-01 00:00 363k 2014/08/10 C:\cygwin64\bin\cygUil-4.dll - os=4.0 img=0.0 sys=5.2 "cygUil-4.dll" v0.0 ts=1970-01-01 00:00 1154k 2013/04/20 C:\cygwin64\bin\cygunistring-0.dll - os=4.0 img=0.0 sys=5.2 "cygunistring-0.dll" v0.0 ts=2013-04-20 19:38 1252k 2014/09/04 C:\cygwin64\bin\cygunistring-2.dll - os=4.0 img=0.0 sys=5.2 "cygunistring-2.dll" v0.0 ts=2014-09-04 21:06 166k 2013/06/12 C:\cygwin64\bin\cygustr-1.dll - os=4.0 img=0.0 sys=5.2 "cygustr-1.dll" v0.0 ts=2013-06-12 09:42 1334k 2013/06/12 C:\cygwin64\bin\cygustr-debug-1.dll - os=4.0 img=0.0 sys=5.2 "cygustr-debug-1.dll" v0.0 ts=2013-06-12 09:42 15k 2014/12/01 C:\cygwin64\bin\cyguuid-1.dll - os=4.0 img=0.0 sys=5.2 "cyguuid-1.dll" v0.0 ts=2014-12-01 23:56 2250k 2013/08/05 C:\cygwin64\bin\cygvala-0.20-0.dll - os=4.0 img=0.0 sys=5.2 "cygvala-0.20-0.dll" v0.0 ts=2013-08-05 06:20 2285k 2014/03/12 C:\cygwin64\bin\cygvala-0.22-0.dll - os=4.0 img=0.0 sys=5.2 "cygvala-0.22-0.dll" v0.0 ts=2014-03-12 23:43 17k 2014/05/16 C:\cygwin64\bin\cygverto-1.dll - os=4.0 img=0.0 sys=5.2 "cygverto-1.dll" v0.0 ts=1970-01-01 00:00 168k 2014/01/26 C:\cygwin64\bin\cygvorbis-0.dll - os=4.0 img=0.0 sys=5.2 "cygvorbis-0.dll" v0.0 ts=2014-01-26 21:11 606k 2014/01/26 C:\cygwin64\bin\cygvorbisenc-2.dll - os=4.0 img=0.0 sys=5.2 "cygvorbisenc-2.dll" v0.0 ts=2014-01-26 21:11 28k 2014/01/26 C:\cygwin64\bin\cygvorbisfile-3.dll - os=4.0 img=0.0 sys=5.2 "cygvorbisfile-3.dll" v0.0 ts=2014-01-26 21:11 24009k 2014/07/11 C:\cygwin64\bin\cygwebkitgtk-1.0-0.dll - os=4.0 img=0.0 sys=5.2 "cygwebkitgtk-1.0-0.dll" v0.0 ts=1970-01-01 00:00 310k 2014/03/13 C:\cygwin64\bin\cygwebp-5.dll - os=4.0 img=0.0 sys=5.2 "cygwebp-5.dll" v0.0 ts=2014-03-14 00:12 11k 2013/07/31 C:\cygwin64\bin\cygWindowsWM-7.dll - os=4.0 img=0.0 sys=5.2 "cygWindowsWM-7.dll" v0.0 ts=2013-07-31 23:47 299k 2013/07/29 C:\cygwin64\bin\cygWINGs-2.dll - os=4.0 img=0.0 sys=5.2 "cygWINGs-2.dll" v0.0 ts=2013-07-29 03:09 284k 2014/11/28 C:\cygwin64\bin\cygWINGs-3.dll - os=4.0 img=0.0 sys=5.2 "cygWINGs-3.dll" v0.0 ts=2014-11-28 04:15 309k 2013/04/25 C:\cygwin64\bin\cygwmf-0-2-7.dll - os=4.0 img=0.0 sys=5.2 "cygwmf-0-2-7.dll" v0.0 ts=2013-04-24 19:33 101k 2013/04/25 C:\cygwin64\bin\cygwmflite-0-2-7.dll - os=4.0 img=0.0 sys=5.2 "cygwmflite-0-2-7.dll" v0.0 ts=2013-04-24 19:33 30k 2013/11/15 C:\cygwin64\bin\cygwrap-0.dll - os=4.0 img=0.0 sys=5.2 "cygwrap-0.dll" v0.0 ts=2013-11-15 19:58 72k 2013/07/29 C:\cygwin64\bin\cygwraster-3.dll - os=4.0 img=0.0 sys=5.2 "cygwraster-3.dll" v0.0 ts=2013-07-29 03:09 73k 2014/11/28 C:\cygwin64\bin\cygwraster-5.dll - os=4.0 img=0.0 sys=5.2 "cygwraster-5.dll" v0.0 ts=2014-11-28 04:15 91k 2013/07/29 C:\cygwin64\bin\cygWUtil-2.dll - os=4.0 img=0.0 sys=5.2 "cygWUtil-2.dll" v0.0 ts=2013-07-29 03:09 84k 2014/11/28 C:\cygwin64\bin\cygWUtil-5.dll - os=4.0 img=0.0 sys=5.2 "cygWUtil-5.dll" v0.0 ts=2014-11-28 04:15 1121k 2014/10/21 C:\cygwin64\bin\cygX11-6.dll - os=4.0 img=0.0 sys=5.2 "cygX11-6.dll" v0.0 ts=2014-10-21 02:59 9k 2014/10/21 C:\cygwin64\bin\cygX11-xcb-1.dll - os=4.0 img=0.0 sys=5.2 "cygX11-xcb-1.dll" v0.0 ts=2014-10-21 02:59 12k 2013/06/06 C:\cygwin64\bin\cygXau-6.dll - os=4.0 img=0.0 sys=5.2 "cygXau-6.dll" v0.0 ts=2013-06-06 06:23 368k 2014/08/10 C:\cygwin64\bin\cygXaw-7.dll - os=4.0 img=0.0 sys=5.2 "cygXaw-7.dll" v0.0 ts=1970-01-01 00:00 296k 2014/08/10 C:\cygwin64\bin\cygXaw3d-8.dll - os=4.0 img=0.0 sys=5.2 "cygXaw3d-8.dll" v0.0 ts=1970-01-01 00:00 102k 2014/05/20 C:\cygwin64\bin\cygxcb-1.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-1.dll" v0.0 ts=1970-01-01 00:00 13k 2014/05/20 C:\cygwin64\bin\cygxcb-composite-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-composite-0.dll" v0.0 ts=1970-01-01 00:00 20k 2014/08/10 C:\cygwin64\bin\cygxcb-cursor-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-cursor-0.dll" v0.0 ts=1970-01-01 00:00 12k 2014/05/20 C:\cygwin64\bin\cygxcb-damage-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-damage-0.dll" v0.0 ts=1970-01-01 00:00 40k 2014/08/10 C:\cygwin64\bin\cygxcb-ewmh-2.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-ewmh-2.dll" v0.0 ts=1970-01-01 00:00 71k 2014/05/20 C:\cygwin64\bin\cygxcb-glx-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-glx-0.dll" v0.0 ts=1970-01-01 00:00 17k 2014/08/10 C:\cygwin64\bin\cygxcb-icccm-4.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-icccm-4.dll" v0.0 ts=1970-01-01 00:00 16k 2013/03/17 C:\cygwin64\bin\cygxcb-image-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-image-0.dll" v0.0 ts=2013-03-17 22:42 11k 2013/08/01 C:\cygwin64\bin\cygxcb-keysyms-1.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-keysyms-1.dll" v0.0 ts=2013-08-01 08:15 32k 2014/05/20 C:\cygwin64\bin\cygxcb-render-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-render-0.dll" v0.0 ts=1970-01-01 00:00 14k 2014/08/10 C:\cygwin64\bin\cygxcb-render-util-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-render-util-0.dll" v0.0 ts=1970-01-01 00:00 14k 2014/05/20 C:\cygwin64\bin\cygxcb-shape-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-shape-0.dll" v0.0 ts=1970-01-01 00:00 13k 2014/05/20 C:\cygwin64\bin\cygxcb-shm-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-shm-0.dll" v0.0 ts=1970-01-01 00:00 17k 2013/03/17 C:\cygwin64\bin\cygxcb-util-1.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-util-1.dll" v0.0 ts=2013-03-17 22:33 24k 2014/05/20 C:\cygwin64\bin\cygxcb-xfixes-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-xfixes-0.dll" v0.0 ts=1970-01-01 00:00 11k 2014/05/20 C:\cygwin64\bin\cygxcb-xtest-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcb-xtest-0.dll" v0.0 ts=1970-01-01 00:00 11k 2013/03/18 C:\cygwin64\bin\cygXcomposite-1.dll - os=4.0 img=0.0 sys=5.2 "cygXcomposite-1.dll" v0.0 ts=2013-03-18 23:05 34k 2013/06/06 C:\cygwin64\bin\cygXcursor-1.dll - os=4.0 img=0.0 sys=5.2 "cygXcursor-1.dll" v0.0 ts=2013-06-06 21:15 36k 2014/06/06 C:\cygwin64\bin\cygxcwm-0.dll - os=4.0 img=0.0 sys=5.2 "cygxcwm-0.dll" v0.0 ts=1970-01-01 00:00 10k 2013/04/08 C:\cygwin64\bin\cygXdamage-1.dll - os=4.0 img=0.0 sys=5.2 "cygXdamage-1.dll" v0.0 ts=2013-04-08 22:24 21k 2013/03/13 C:\cygwin64\bin\cygXdmcp-6.dll - os=4.0 img=0.0 sys=5.2 "cygXdmcp-6.dll" v0.0 ts=2013-03-13 04:58 56k 2014/08/10 C:\cygwin64\bin\cygXext-6.dll - os=4.0 img=0.0 sys=5.2 "cygXext-6.dll" v0.0 ts=1970-01-01 00:00 19k 2013/06/06 C:\cygwin64\bin\cygXfixes-3.dll - os=4.0 img=0.0 sys=5.2 "cygXfixes-3.dll" v0.0 ts=2013-06-06 20:27 205k 2014/08/10 C:\cygwin64\bin\cygXfont-1.dll - os=4.0 img=0.0 sys=5.2 "cygXfont-1.dll" v0.0 ts=1970-01-01 00:00 73k 2014/08/10 C:\cygwin64\bin\cygXft-2.dll - os=4.0 img=0.0 sys=5.2 "cygXft-2.dll" v0.0 ts=1970-01-01 00:00 56k 2014/08/10 C:\cygwin64\bin\cygXi-6.dll - os=4.0 img=0.0 sys=5.2 "cygXi-6.dll" v0.0 ts=1970-01-01 00:00 10k 2013/06/06 C:\cygwin64\bin\cygXinerama-1.dll - os=4.0 img=0.0 sys=5.2 "cygXinerama-1.dll" v0.0 ts=2013-06-06 21:33 125k 2013/04/08 C:\cygwin64\bin\cygxkbfile-1.dll - os=4.0 img=0.0 sys=5.2 "cygxkbfile-1.dll" v0.0 ts=2013-04-08 21:24 2274k 2014/08/10 C:\cygwin64\bin\cygXm-4.dll - os=4.0 img=0.0 sys=5.2 "cygXm-4.dll" v0.0 ts=1970-01-01 00:00 387k 2013/05/02 C:\cygwin64\bin\cygXmHTML-0.dll - os=4.0 img=0.0 sys=5.2 "cygXmHTML-0.dll" v0.0 ts=2013-05-02 12:41 1207k 2014/10/19 C:\cygwin64\bin\cygxml2-2.dll - os=4.0 img=0.0 sys=5.2 "cygxml2-2.dll" v0.0 ts=2014-10-19 08:52 85k 2014/01/15 C:\cygwin64\bin\cygXmu-6.dll - os=4.0 img=0.0 sys=5.2 "cygXmu-6.dll" v0.0 ts=2014-01-15 02:27 14k 2014/01/15 C:\cygwin64\bin\cygXmuu-1.dll - os=4.0 img=0.0 sys=5.2 "cygXmuu-1.dll" v0.0 ts=2014-01-15 02:27 59k 2014/01/15 C:\cygwin64\bin\cygXpm-4.dll - os=4.0 img=0.0 sys=5.2 "cygXpm-4.dll" v0.0 ts=2014-01-15 00:59 54k 2013/07/01 C:\cygwin64\bin\cygXpm-noX-4.dll - os=4.0 img=0.0 sys=5.2 "cygXpm-noX-4.dll" v0.0 ts=2013-07-01 12:24 34k 2014/01/14 C:\cygwin64\bin\cygXrandr-2.dll - os=4.0 img=0.0 sys=5.2 "cygXrandr-2.dll" v0.0 ts=2014-01-14 23:56 35k 2013/06/14 C:\cygwin64\bin\cygXrender-1.dll - os=4.0 img=0.0 sys=5.2 "cygXrender-1.dll" v0.0 ts=2013-06-14 09:29 10k 2013/06/06 C:\cygwin64\bin\cygXRes-1.dll - os=4.0 img=0.0 sys=5.2 "cygXRes-1.dll" v0.0 ts=2013-06-06 21:47 207k 2013/09/02 C:\cygwin64\bin\cygxslt-1.dll - os=4.0 img=0.0 sys=5.2 "cygxslt-1.dll" v0.0 ts=2013-09-02 17:55 12k 2013/03/14 C:\cygwin64\bin\cygXss-1.dll - os=4.0 img=0.0 sys=5.2 "cygXss-1.dll" v0.0 ts=2013-03-14 04:07 320k 2014/08/10 C:\cygwin64\bin\cygXt-6.dll - os=4.0 img=0.0 sys=5.2 "cygXt-6.dll" v0.0 ts=1970-01-01 00:00 21k 2013/06/06 C:\cygwin64\bin\cygXtst-6.dll - os=4.0 img=0.0 sys=5.2 "cygXtst-6.dll" v0.0 ts=2013-06-06 20:36 120k 2014/03/30 C:\cygwin64\bin\cygyaml-0-2.dll - os=4.0 img=0.0 sys=5.2 "cygyaml-0-2.dll" v0.0 ts=2014-03-30 06:16 80k 2014/11/19 C:\cygwin64\bin\cygz.dll - os=4.0 img=0.0 sys=5.2 "cygz.dll" v0.0 ts=2014-11-19 23:08 24k 2013/05/30 C:\cygwin64\bin\cygzzip-0-13.dll - os=4.0 img=0.0 sys=5.2 "cygzzip-0-13.dll" v0.0 ts=2013-05-30 03:34 12k 2013/05/30 C:\cygwin64\bin\cygzzipfseeko-0-13.dll - os=4.0 img=0.0 sys=5.2 "cygzzipfseeko-0-13.dll" v0.0 ts=2013-05-30 03:34 15k 2013/05/30 C:\cygwin64\bin\cygzzipmmapped-0-13.dll - os=4.0 img=0.0 sys=5.2 "cygzzipmmapped-0-13.dll" v0.0 ts=2013-05-30 03:34 9k 2013/05/30 C:\cygwin64\bin\cygzzipwrap-0-13.dll - os=4.0 img=0.0 sys=5.2 "cygzzipwrap-0-13.dll" v0.0 ts=2013-05-30 03:34 3102k 2014/11/13 C:\cygwin64\bin\cygwin1.dll - os=4.0 img=0.0 sys=5.2 "cygwin1.dll" v0.0 ts=1970-01-01 00:00 Cygwin DLL version info: DLL version: 1.7.33 DLL epoch: 19 DLL old termios: 5 DLL malloc env: 28 Cygwin conv: 181 API major: 0 API minor: 280 Shared data: 5 DLL identifier: cygwin1 Mount registry: 3 Cygwin registry name: Cygwin Program options name: Program Options Installations name: Installations Cygdrive default prefix: Build date: Shared id: cygwin1S5 443k 2013/11/17 C:\cygwin64\lib\lapack\cygblas-0.dll - os=4.0 img=0.0 sys=5.2 "cygblas-0.dll" v0.0 ts=2013-11-17 14:09 5626k 2013/11/17 C:\cygwin64\lib\lapack\cyglapack-0.dll - os=4.0 img=0.0 sys=5.2 "cyglapack-0.dll" v0.0 ts=2013-11-17 14:09 Service : cron Display name : Cron daemon Current State : Running Controls Accepted : Stop Command : /usr/sbin/cron -n stdin path : /dev/null stdout path : /var/log/cron.log stderr path : /var/log/cron.log Environment : CYGWIN=" " Process Type : Own Process Startup : Automatic Account : .\Peter Service : sshd Display name : CYGWIN sshd Current State : Running Controls Accepted : Stop Command : /usr/sbin/sshd -D stdin path : /dev/null stdout path : /var/log/sshd.log stderr path : /var/log/sshd.log Process Type : Own Process Startup : Manual Dependencies : tcpip Account : .\cyg_server Cygwin Package Information Last downloaded files to: C:\Users\Peter\Desktop Last downloaded files from: http://mirrors.xmission.com/cygwin/ Package Version Status _autorebase 000299-1 OK _update-info-dir 00355-1 OK aalib-devel 1.4rc5-11 OK algol68g 2.7-0 OK alternatives 1.3.30c-10 OK apache2 2.2.29-1 OK appdata-tools 0.1.7-1 OK appres 1.0.4-1 OK archivemail 0.9.0-1 OK astyle 2.04-1 OK at-spi2-atk 2.10.2-1 OK at-spi2-core 2.10.2-1 OK autobuild 5.3-1 OK autoconf 13-1 OK autoconf2.1 2.13-12 OK autoconf2.5 2.69-2 OK automake 9-1 OK automake1.10 1.10.3-2 OK automake1.11 1.11.6-2 OK automake1.12 1.12.6-2 OK automake1.13 1.13.4-1 OK automake1.14 1.14.1-1 OK automake1.4 1.4p6-11 OK automake1.5 1.5-11 OK automake1.6 1.6.3-12 OK automake1.7 1.7.9-11 OK automake1.8 1.8.5-11 OK automake1.9 1.9.6-11 OK automoc4 0.9.88-10 OK aview 1.3.0rc1-2 OK base-cygwin 3.3-1 OK base-files 4.2-3 OK bash 4.1.17-9 OK bash-completion 1.3-1 OK bashdb 3.1_0.09-1 OK bc 1.06.95-2 OK bdftopcf 1.0.4-3 OK beforelight 1.0.5-1 OK biber 1.5-1 OK bigreqsproto 1.1.2-1 OK binutils 2.24.51-6 OK bison 3.0.2-1 OK bitmap 1.0.7-1 OK build-docbook-catalog 1.5-2 OK byacc 20130304-1 OK bzip2 1.0.6-2 OK bzr 2.6b2-1 OK bzr-fastimport 0.13.0-1 OK c-client 2007f-2 OK ca-certificates 2.1-1 OK catgets 1.1-2 OK ccache 3.1.9-2 OK cccc 3.1.4-1 OK cfget 0.18-1 OK cfv 1.18.3-1 OK cgdb 0.6.7-1 OK check 0.9.12-1 OK checkbashisms 2.14.6-1 OK chewmail 1.2-1 OK clang 3.4.2-4 OK clang-analyzer 3.4.2-4 OK cloog-isl 0.18.0-2 OK cmake 2.8.11.2-1 OK cmake-gui 2.8.11.2-1 OK cocom 0.996-1 OK code2html 0.9.1-1 OK codeville 0.8.0-4 OK colorgcc 1.3.2-2 OK compositeproto 0.4.2-1 OK convmv 1.12-1 OK copyright-update 2013.0915+gite8ab62a-1 OK coreutils 8.23-4 OK cppcheck 1.67-1 OK cppi 1.18-2 OK cppunit 1.12.1-2 OK cron 4.1-61 OK crypt 1.1-1 OK cscope 15.8.0.1-2 OK csih 0.9.7-1 OK ctags 5.8-1 OK cvs 1.11.23-1 OK cvs2svn 2.4.0-1 OK cvsps 2.2b1-2 OK cvsutils 0.2.6-1 OK cygport 0.17.1-1 OK cygrunsrv 1.61-1 OK cygutils 1.4.14-1 OK cygutils-extra 1.4.14-1 OK cygutils-x11 1.4.14-1 OK cygwin 1.7.33-1 OK cygwin-devel 1.7.33-1 OK cygwin-x-doc 1.1.20141117-1 OK cygwin32 1.7.33-1 OK cygwin32-binutils 2.24.90-1 OK cygwin32-bzip2 1.0.6-2 OK cygwin32-catgets 1.1-1 OK cygwin32-cloog-isl 0.18.0-1 OK cygwin32-cloog-ppl 0.15.11-1 OK cygwin32-crypt 1.1-1 OK cygwin32-default-manifest 6.4-1 OK cygwin32-expat 2.1.0-1 OK cygwin32-freetype2 2.4.12-1 OK cygwin32-gcc-ada 4.8.3-4 OK cygwin32-gcc-core 4.8.3-4 OK cygwin32-gcc-fortran 4.8.3-4 OK cygwin32-gcc-g++ 4.8.3-4 OK cygwin32-gcc-objc 4.8.3-4 OK cygwin32-gcc-objc++ 4.8.3-4 OK cygwin32-gettext 0.18.1.1-1 OK cygwin32-gmp 5.1.1-1 OK cygwin32-gnutls 3.2.0-1 OK cygwin32-isl 0.11.1-1 OK cygwin32-jbigkit 2.0-11 OK cygwin32-libbfd 2.23.51-1 OK cygwin32-libedit 20120311-1 OK cygwin32-libffi 3.0.13-1 OK cygwin32-libiconv 1.14-1 OK cygwin32-libmpc 1.0.1-1 OK cygwin32-libpng 1.5.12-1 OK cygwin32-libtasn1 3.3-1 OK cygwin32-libtool 2.4.2-2 OK cygwin32-libX11 1.6.0-1 OK cygwin32-libXau 1.0.8-1 OK cygwin32-libxcb 1.9.1-1 OK cygwin32-libXdmcp 1.1.1-1 OK cygwin32-lzo2 2.06-1 OK cygwin32-minizip 1.2.8-1 OK cygwin32-mpfr 3.1.2-1 OK cygwin32-ncurses 5.7-1 OK cygwin32-nettle 2.7-1 OK cygwin32-openssl 1.0.1e-1 OK cygwin32-p11-kit 0.19.1-1 OK cygwin32-pcre 8.32-1 OK cygwin32-pkg-config 0.28-1 OK cygwin32-ppl 0.11.2-1 OK cygwin32-readline 6.2-1 OK cygwin32-w32api-headers 3.2.0-1 OK cygwin32-w32api-runtime 3.2.0-1 OK cygwin32-xproto 7.7-3 OK cygwin32-zlib 1.2.8-1 OK damageproto 1.2.1-1 OK dash 0.5.8-3 OK dblatex 0.3.4-1 OK dbus 1.8.10-1 OK dbus-x11 1.8.10-1 OK dconf-service 0.18.0-1 OK ddd 3.3.12-2 OK ddir 2010.0321+git1685e72-1 OK dejagnu 1.5-1 OK delta 2006.08.03-1 OK desktop-file-utils 0.21-1 OK dialog 1.2-20140112-1 OK diffstat 1.59-1 OK diffutils 3.3-2 OK dmxproto 2.3.1-1 OK docbook-dsssl 1.79-2 OK docbook-xml412 4.1.2-2 OK docbook-xml42 4.2-4 OK docbook-xml43 4.3-2 OK docbook-xml44 4.4-2 OK docbook-xsl 1.77.1-1 OK dos2unix 7.1-1 OK doxygen 1.8.8-1 OK doxygen-doxywizard 1.8.8-1 OK dri-drivers 10.3.3-1 OK ed 1.10-1 OK editres 1.0.6-1 OK editrights 1.03-1 OK ELFIO 2.2-1 OK emacs 24.4-2 OK emacs-gettext 0.18.3.2-2 OK emacs-ocaml 4.01.0-2 OK Empty package eventlog eventlog 0.2.12-2 OK expect 5.45-2 OK fbpanel 6.1-5 OK file 5.20-1 OK file-devel 5.20-1 OK findutils 4.5.12-1 OK fixesproto 5.0-1 OK flac-devel 1.3.1-1 OK flex 2.5.39-1 OK Empty package fltk fltk 1.3.2.9965-1 OK font-adobe-dpi100 1.0.2-1 OK font-adobe-dpi75 1.0.2-1 OK font-adobe-utopia-dpi100 1.0.3-1 OK font-adobe-utopia-dpi75 1.0.3-1 OK font-adobe-utopia-type1 1.0.3-1 OK font-alias 1.0.3-1 OK font-arabic-misc 1.0.2-1 OK font-bh-dpi100 1.0.2-1 OK font-bh-dpi75 1.0.2-1 OK font-bh-lucidatypewriter-dpi100 1.0.2-1 OK font-bh-lucidatypewriter-dpi75 1.0.2-1 OK font-bh-ttf 1.0.3-1 OK font-bh-type1 1.0.2-1 OK font-bitstream-dpi100 1.0.2-1 OK font-bitstream-dpi75 1.0.2-1 OK font-bitstream-speedo 1.0.1-1 OK font-bitstream-type1 1.0.2-1 OK font-bitstream-vera-ttf 1.10-1 OK font-cantarell-otf 0.0.15-1 OK font-cronyx-cyrillic 1.0.2-1 OK font-cursor-misc 1.0.2-1 OK font-daewoo-misc 1.0.2-1 OK font-dec-misc 1.0.2-1 OK font-encodings 1.0.4-1 OK font-ibm-type1 1.0.2-1 OK font-isas-misc 1.0.2-1 OK font-jis-misc 1.0.2-1 OK font-micro-misc 1.0.2-1 OK font-misc-cyrillic 1.0.2-1 OK font-misc-ethiopic 1.0.2-1 OK font-misc-meltho 1.0.2-1 OK font-misc-misc 1.1.1-1 OK font-mutt-misc 1.0.2-1 OK font-schumacher-misc 1.1.1-1 OK font-screen-cyrillic 1.0.3-1 OK font-sony-misc 1.0.2-1 OK font-sun-misc 1.0.2-1 OK font-tektronix-misc 2.6-3 OK font-util 1.3.0-1 OK font-winitzki-cyrillic 1.0.2-1 OK font-xfree86-type1 1.0.3-1 OK fontconfig 2.11.1-1 OK fontsproto 2.1.3-1 OK fonttosfnt 1.0.4-1 OK fossil 20140612172556-3 OK fslsfonts 1.0.4-1 OK fstobdf 1.0.5-1 OK fvwm 2.6.5-1 OK gamin 0.1.10-15 OK gawk 4.1.1-1 OK gcc-ada 4.8.3-5 OK gcc-core 4.8.3-5 OK gcc-fortran 4.8.3-5 OK gcc-g++ 4.8.3-5 OK gcc-objc 4.8.3-5 OK gcc-objc++ 4.8.3-5 OK gcc-tools-epoch1-autoconf 2.59-2 OK gcc-tools-epoch1-automake 1.9.6-2 OK gcc-tools-epoch2-autoconf 2.64-2 OK gcc-tools-epoch2-automake 1.11.6-1 OK gccmakedep 1.0.3-1 OK gconf-desktop-schemas 2.32.1-3 OK GConf2 3.2.6-2 OK gcr 3.10.1-1 OK gdb 7.8-2 OK gdk-pixbuf2.0-svg 2.40.1-1 OK gendef 1.0-svn2931-1 OK getent 2.18.90-4 OK getmail 4.36.0-2 OK gettext-devel 0.18.3.2-2 OK ghostscript 9.06-2 OK ghostscript-fonts-other 6.0-1 OK ghostscript-fonts-std 8.11-1 OK girepository-Atk1.0 2.10.0-1 OK girepository-cairo1.0 1.38.0-1 OK girepository-GdkPixbuf2.0 2.30.4-1 OK girepository-GLib2.0 1.38.0-1 OK girepository-Gtk3.0 3.10.9-1 OK girepository-Pango1.0 1.36.3-1 OK girepository-x11 1.38.0-1 OK git 2.1.1-1 OK git-completion 2.1.1-1 OK git-cvs 2.1.1-1 OK git-email 2.1.1-1 OK git-gui 2.1.1-1 OK git-review 1.24-2 OK git-svn 2.1.1-1 OK gitk 2.1.1-1 OK gitweb 2.1.1-1 OK glade 3.16.1-1 OK glade-devel 3.16.1-1 OK glade-python 3.16.1-1 OK glade2 2.12.2-2 OK glade3 3.8.4-1 OK glade3-devel 3.8.4-1 OK glade3-gnome 3.8.4-1 OK glade3-python 3.8.4-1 OK glib2.0-networking 2.38.2-1 OK glproto 1.4.17-1 OK gmp 6.0.0a-1 OK gnome-common 3.10.0-1 OK gnome-doc-utils 0.20.10-2 OK gnome-icon-theme 3.10.0-1 OK gnome-keyring 3.10.1-1 OK gnome-mime-data 2.18.0-10 OK gnome-python 2.28.1-3 OK gnome-python-extras 2.29.0-2 OK gnome-themes-standard 3.10.0-1 OK gnupg 1.4.18-1 OK gnutls-devel 3.2.20-1 OK gobject-introspection 1.38.0-1 OK google-breakpad-devel 1390-1 OK google-breakpad-tools 1390-1 OK gperf 3.0.4-2 OK grep 2.21-1 OK grepmail 5.3033-2 OK groff 1.22.2-2 OK gsettings-desktop-schemas 3.10.1-1 OK gsl 1.16-1 OK gsl-apps 1.16-1 OK gsl-devel 1.16-1 OK gsl-doc 1.16-1 OK gtk-doc 1.19-2 OK gtk-update-icon-cache 3.10.9-1 OK gtk1.2-engines 0.12-11 OK gtk2.0-atk-bridge 2.10.2-1 OK gtk2.0-canberra-module 0.30-1 OK gtk2.0-demo 2.24.23-1 OK gtk2.0-engines-pixmap 2.24.23-1 OK gtk2.0-gail 2.24.23-1 OK gtk3-canberra-module 0.30-1 OK gtk3-demo 3.10.9-1 OK guilt 0.35-2 OK gv 3.7.4-1 OK gzip 1.6-1 OK harfbuzz 0.9.25-1 OK hdf5 1.8.13-1 OK help2man 1.44.1-1 OK hicolor-icon-theme 0.12-1 OK hostname 3.13-1 OK iceauth 1.0.6-1 OK ico 1.0.4-1 OK icon-naming-utils 0.8.90-1 OK idle 2.7.8-1 OK idle3 3.2.5-4 OK ImageMagick 6.7.6.3-4 OK imake 1.0.7-1 OK indent 2.2.11-2 OK inetutils 1.9.1-2 OK inetutils-server 1.9.1-2 OK info 5.2-3 OK inputproto 2.3.1-1 OK intltool 0.50.2-2 OK ipc-utils 1.0-2 OK ipcalc 0.41-1 OK isl 0.11.1-2 OK iso-codes 3.56-1 OK itstool 1.2.0-1 OK jlint 3.1.2-1 OK kbproto 1.0.6-1 OK keychain 2.7.1-1 OK khronos-opengl-registry 20140619_svn27116-1 OK less 458-1 OK libaa1 1.4rc5-11 OK libapr1 1.4.8-1 OK libapr1-devel 1.4.8-1 OK libaprutil1 1.5.4-1 OK libaprutil1-devel 1.5.4-1 OK libarchive13 3.1.2-2 OK libargp 20110921-2 OK libart_lgpl_2_2 2.3.21-2 OK libaspell-devel 0.60.6.1-1 OK libaspell15 0.60.6.1-1 OK libasyncns0 0.8-1 OK libatk-bridge2.0-devel 2.10.2-1 OK libatk-bridge2.0_0 2.10.2-1 OK libatk1.0-devel 2.10.0-1 OK libatk1.0_0 2.10.0-1 OK libatomic1 4.8.3-5 OK libatspi-devel 2.10.2-1 OK libatspi0 2.10.2-1 OK libattr-devel 2.4.46-1 OK libattr1 2.4.46-1 OK libaudio2 1.9.3-1 OK libautotrace-devel 0.31.1-1 OK libautotrace3 0.31.1-1 OK libavahi-client3 0.6.31-2 OK libavahi-common3 0.6.31-2 OK libavahi-glib1 0.6.31-2 OK libblkid1 2.25.2-1 OK libbonobo2_0 2.32.1-2 OK libbonoboui2_0 2.24.5-2 OK libboost_python1.55 1.55.0-1 OK libboost_regex1.55 1.55.0-1 OK libbotan1.10_0 1.10.8-2 OK libbotan1.8.13 1.8.14-2 OK libbz2-devel 1.0.6-2 OK libbz2_1 1.0.6-2 OK libcaca-devel 0.99.beta19-1 OK libcaca0 0.99.beta19-1 OK libcairo-devel 1.12.18-1 OK libcairo2 1.12.18-1 OK libcanberra-devel 0.30-1 OK libcanberra-gtk-devel 0.30-1 OK libcanberra-gtk0 0.30-1 OK libcanberra-gtk3-devel 0.30-1 OK libcanberra-gtk3_0 0.30-1 OK libcanberra0 0.30-1 OK libcatgets-devel 1.1-2 OK libcatgets1 1.1-2 OK libcdt5 2.38.0-1 OK libcgraph6 2.38.0-1 OK libcharset1 1.14-1 OK libclang 3.4.2-4 OK libcloog-isl-devel 0.18.0-2 OK libcloog-isl-doc 0.18.0-2 OK libcloog-isl4 0.18.0-2 OK libcom_err-devel 1.42.10-1 OK libcom_err2 1.42.10-1 OK libcompface-devel 1.5.2-1 OK libcompface0 1.5.2-1 OK libcroco0.6_3 0.6.8-1 OK libcrypt-devel 1.1-1 OK libcrypt0 1.1-1 OK libcurl4 7.39.0-1 OK libdatrie1 0.2.8-1 OK libdb-devel 5.3.21-1 OK libdb5.3 5.3.21-1 OK libdbus-glib_1_2 0.100.2-1 OK libdbus1-devel 1.8.10-1 OK libdbus1_3 1.8.10-1 OK libdialog11 1.2-20140112-1 OK libdmx-devel 1.1.3-1 OK libdmx1 1.1.3-1 OK libecpg-devel 9.3.5-2 OK libedit-devel 20130712-1 OK libedit0 20130712-1 OK libEGL-devel 10.3.3-1 OK libEGL1 10.3.3-1 OK libEMF-devel 1.0.7-1 OK libEMF1 1.0.7-1 OK libenchant1 1.6.0-1 OK libevent2.0_5 2.0.21-1 OK libevtlog-devel 0.2.12-2 OK libevtlog0 0.2.12-2 OK libexif-common 0.6.21-2 OK libexif12 0.6.21-2 OK libexpat-devel 2.1.0-3 OK libexpat1 2.1.0-3 OK libfam0 0.1.10-15 OK libffi-devel 3.0.13-1 OK libffi6 3.0.13-1 OK libfftw3_3 3.3.4-1 OK libFLAC++6 1.3.1-1 OK libFLAC8 1.3.1-1 OK libfltk-devel 1.3.2.9965-1 OK libfltk-doc 1.3.2.9965-1 OK libfltk1.3 1.3.2.9965-1 OK libfontconfig-devel 2.11.1-1 OK libfontconfig1 2.11.1-1 OK libfontenc-devel 1.1.2-1 OK libfontenc1 1.1.2-1 OK libfpx-devel 1.3.1.2-1 OK libfpx1 1.3.1.2-1 OK libfreetype-devel 2.5.3-1 OK libfreetype6 2.5.3-1 OK libfribidi0 0.19.2-1 OK libFS6 1.0.6-1 OK libgailutil18 2.24.23-1 OK libgamin1_0 0.1.10-15 OK libgcc1 4.8.3-5 OK libgck1_0 3.10.1-1 OK libgconf2_4 3.2.6-2 OK libgcr3-common 3.10.1-1 OK libgcr3_1 3.10.1-1 OK libgcrypt-devel 1.5.3-1 OK libgcrypt11 1.5.3-1 OK libgd-devel 2.0.36RC1-1 OK libgd2 2.0.36RC1-1 OK libgdbm-devel 1.11-1 OK libgdbm4 1.11-1 OK libgdk_imlib1 1.9.15-14 OK libgdk_pixbuf2.0-devel 2.30.4-1 OK libgdk_pixbuf2.0_0 2.30.4-1 OK libgeoclue0 0.12.99-1 OK libgfortran3 4.8.3-5 OK libggi2 2.2.2-3 OK libggi2-devel 2.2.2-3 OK libggi2-display-x 2.2.2-3 OK libggimisc2 2.2.2-3 OK libggimisc2-devel 2.2.2-3 OK libggiwmh0 0.3.2-3 OK libggiwmh0-devel 0.3.2-3 OK libggiwmh0-display-x 0.3.2-3 OK libgif-devel 4.1.6-12 OK libgif4 4.1.6-12 OK libgii1 1.0.2-3 OK libgii1-devel 1.0.2-3 OK libgii1-input-x 1.0.2-3 OK libgirepository1.0_1 1.38.0-1 OK libGL-devel 10.3.3-1 OK libGL1 10.3.3-1 OK libglade2.0_0 2.6.4-2 OK libglapi0 10.3.3-1 OK libGLESv1_CM1 10.3.3-1 OK libGLESv2_2 10.3.3-1 OK libglib1.2_0 1.2.10-12 OK libglib2.0-devel 2.38.2-4 OK libglib2.0_0 2.38.2-4 OK libGLU-devel 9.0.0-1 OK libGLU1 9.0.0-1 OK libglut-devel 2.8.1-1 OK libglut3 2.8.1-1 OK libGLw-devel 8.0.0-1 OK libGLw1 8.0.0-1 OK libgmp-devel 6.0.0a-1 OK libgmp10 6.0.0a-1 OK libgmpxx4 6.0.0a-1 OK libgnat4.9 4.9.0-1 OK libgnome-keyring0 3.10.1-1 OK libgnome2_0 2.32.1-3 OK libgnomecanvas2_0 2.30.3-2 OK libgnomeui2_0 2.24.5-2 OK libgnomevfs2_0 2.24.4-6 OK libgnutls28 3.2.20-1 OK libgomp1 4.8.3-5 OK libgoocanvas3 1.0.0-1 OK libgpg-error-devel 1.12-1 OK libgpg-error0 1.12-1 OK libgraphite2_3 1.2.3-1 OK libgs-devel 9.06-2 OK libgs9 9.06-2 OK libgssapi_krb5_2 1.12.2-1 OK libgssrpc4 1.12.2-1 OK libgstinterfaces0.10_0 0.10.36-2 OK libgstinterfaces1.0_0 1.2.3-1 OK libgstreamer0.10-devel 0.10.36-2 OK libgstreamer0.10_0 0.10.36-2 OK libgstreamer1.0_0 1.2.3-1 OK libgtk1.2_0 1.2.10-12 OK libgtk2.0-devel 2.24.23-1 OK libgtk2.0_0 2.24.23-1 OK libgtk3-devel 3.10.9-1 OK libgtk3_0 3.10.9-1 OK libgtksourceview2.0_0 2.10.5-2 OK libgtkspell0 2.0.16-1 OK libgts0.7_5 20121130-1 OK libgvc6 2.38.0-1 OK libharfbuzz-devel 0.9.25-1 OK libharfbuzz0 0.9.25-1 OK libhdf5-devel 1.8.13-1 OK libhdf5_8 1.8.13-1 OK libhogweed2 2.7-1 OK libICE-devel 1.0.9-1 OK libICE6 1.0.9-1 OK libiconv 1.14-1 OK libiconv-devel 1.14-1 OK libiconv2 1.14-1 OK libicu-devel 51.2-1 OK libicu51 51.2-1 OK libid3tag0 0.15.1b-10 OK libIDL2-devel 0.8.14-2 OK libIDL2_0 0.8.14-2 OK libidn-devel 1.26-1 OK libidn11 1.26-1 OK libImlib2-devel 1.4.5-2 OK libImlib2_1 1.4.5-2 OK libintl-devel 0.18.3.2-2 OK libintl8 0.18.3.2-2 OK libiodbc-devel 3.52.8-2 OK libiodbc2 3.52.8-2 OK libisl-devel 0.11.1-2 OK libisl10 0.11.1-2 OK libjasper1 1.900.1-13 OK libjavascriptcoregtk1.0-devel 2.0.4-1 OK libjavascriptcoregtk1.0_0 2.0.4-1 OK libjbig-devel 2.0-14 OK libjbig2 2.0-14 OK libjpeg-devel 1.3.1-1 OK libjpeg8 1.3.1-1 OK libjson-c-common 0.11-2 OK libjson-c2 0.11-2 OK libk5crypto3 1.12.2-1 OK libkadm5clnt_mit9 1.12.2-1 OK libkadm5srv_mit9 1.12.2-1 OK libkate 0.4.1-1 OK libkate-devel 0.4.1-1 OK libkate1 0.4.1-1 OK libkdb5_7 1.12.2-1 OK libkpathsea6 20140523-1 OK libkrad0 1.12.2-1 OK libkrb5-devel 1.12.2-1 OK libkrb5_3 1.12.2-1 OK libkrb5support0 1.12.2-1 OK liblapack-devel 3.5.0-2 OK liblapack0 3.5.0-2 OK libLASi1 1.1.1-2 OK liblcms-devel 1.19-1 OK liblcms1 1.19-1 OK liblcms2-devel 2.6-1 OK liblcms2_2 2.6-1 OK libllvm3.4 3.4.2-4 OK libltdl7 2.4.2-5 OK liblzma-devel 5.0.5-1 OK liblzma5 5.0.5-1 OK liblzo2_2 2.08-1 OK libMagick-devel 6.7.6.3-4 OK libMagickCore5 6.7.6.3-4 OK libmangle 1.0-svn2930-1 OK libmcpp0 2.7.2-2 OK libmcrypt-devel 2.5.8-1 OK libmcrypt4 2.5.8-1 OK libming-devel 0.4.5-1 OK libming1 0.4.5-1 OK libmng-devel 1.0.10-1 OK libmng1 1.0.10-1 OK libmpc3 1.0.2-1 OK libmpfr4 3.1.2-1 OK libmysqlclient18 5.5.40-1 OK libncurses-devel 5.9-20140524-1 OK Empty package libncursesw-devel libncursesw-devel 5.9-20140524-1 OK libncursesw10 5.9-20140524-1 OK libneon-devel 0.30.1-1 OK libneon27 0.30.1-1 OK libnetpbm10 10.61.02-1 OK libnettle-devel 2.7-1 OK libnettle4 2.7-1 OK libnspr4 4.10.6-1 OK libnss3 3.16.6-1 OK libobjc4 4.8.3-5 OK libogg-devel 1.3.1-1 OK libogg0 1.3.1-1 OK libopencdk-devel 0.6.6-1 OK libopencdk10 0.6.6-1 OK libopenjpeg1 1.5.1-3 OK libopenldap2_4_2 2.4.40-1 OK libopenssl100 1.0.1j-1 OK libOpenVG1 10.3.3-1 OK libORBit2-devel 2.14.19-2 OK libORBit2_0 2.14.19-2 OK liborc0.4_0 0.4.18-2 OK libOSMesa8 10.3.3-1 OK libosp5 1.5.2-2 OK libostyle1 1.4devel1-3 OK libp11-kit-devel 0.20.7-1 OK libp11-kit0 0.20.7-1 OK libpango1.0-devel 1.36.3-1 OK libpango1.0_0 1.36.3-1 OK libpaper-common 1.1.24-2 OK libpaper-devel 1.1.24-2 OK libpaper1 1.1.24-2 OK libpathplan4 2.38.0-1 OK libpcre-devel 8.35-1 OK libpcre1 8.35-1 OK libpcre16_0 8.35-1 OK libpcre32_0 8.35-1 OK libpcrecpp0 8.35-1 OK libpcreposix0 8.35-1 OK libphonon4 4.8.2-1 OK libpipeline-devel 1.3.0-3 OK libpipeline1 1.3.0-3 OK libpixman1-devel 0.32.6-1 OK libpixman1_0 0.32.6-1 OK libplot2 2.6-3 OK libpng-devel 1.5.18-1 OK libpng15 1.5.18-1 OK libpoco-devel 1.4.7p1-1 OK libpoco-doc 1.4.7p1-1 OK libpoco16 1.4.6p4-2 OK libpoco17 1.4.7p1-1 OK libpoppler-glib8 0.26.5-1 OK libpoppler44 0.24.5-1 OK libpoppler46 0.26.5-1 OK Empty package libpopt-devel libpopt-devel 1.16-1 OK Empty package libpopt0 libpopt0 1.16-1 OK libpq-devel 9.3.5-2 OK libpq5 9.3.5-2 OK libproxy-devel 0.4.11-2 OK libproxy1 0.4.11-2 OK libpstoedit0 3.61-1 OK libptexenc1 20140523-1 OK libpulse-mainloop-glib0 5.0-1 OK libpulse0 5.0-1 OK libqhull-devel 2012.1-2 OK libqhull_6 2012.1-2 OK libqt3 3.3.8b-14 OK libQtCore4 4.8.6-2 OK libQtCore4-devel 4.8.6-2 OK libQtDBus4 4.8.6-2 OK libQtDeclarative4 4.8.6-2 OK libQtDesigner4 4.8.6-2 OK libQtGui4 4.8.6-2 OK libQtHelp4 4.8.6-2 OK libQtMultimedia4 4.8.6-2 OK libQtNetwork4 4.8.6-2 OK libQtOpenGL4 4.8.6-2 OK libQtScript4 4.8.6-2 OK libQtScriptTools4 4.8.6-2 OK libQtSql4 4.8.6-2 OK libQtSvg4 4.8.6-2 OK libQtTest4 4.8.6-2 OK libQtWebKit4 4.8.6-2 OK libQtXml4 4.8.6-2 OK libQtXmlPatterns4 4.8.6-2 OK libquadmath0 4.8.3-5 OK libqzeitgeist1 0.8.0-2 OK librarian0 0.8.1-12 OK libreadline7 6.2-1 OK librsvg2-devel 2.40.1-1 OK librsvg2_2 2.40.1-1 OK librsync-devel 0.9.7-3 OK librsync1 0.9.7-3 OK libsasl2-devel 2.1.26-9 OK libsasl2_3 2.1.26-9 OK libsecret1_0 0.16-1 OK libserf1-devel 1.3.7-1 OK libserf1_0 1.3.7-1 OK libSM-devel 1.2.2-1 OK libSM6 1.2.2-1 OK libsmartcols1 2.25.2-1 OK libsmi-devel 0.4.8-1 OK libsmi2 0.4.8-1 OK libsndfile1 1.0.25-1 OK libsoup2.4-devel 2.44.2-2 OK libsoup2.4_1 2.44.2-2 OK libsource-highlight-common 3.1.7-5 OK libsource-highlight4 3.1.7-5 OK libspeex1 1.2rc1-1 OK libsqlite3-devel 3.8.7.2-1 OK libsqlite3_0 3.8.7.2-1 OK libssh2_1 1.4.3-1 OK libssp0 4.8.3-5 OK libstartup-notification1-devel 0.12-2 OK libstartup-notification1_0 0.12-2 OK libstdc++6 4.8.3-5 OK libsybdb5 0.91.103-1 OK libtasn1-devel 4.2-1 OK libtasn1_6 4.2-1 OK libtdb1 1.2.11-2 OK libthai0 0.1.21-1 OK libtiff-devel 4.0.3-2 OK libtiff5 3.9.7-4 OK libtiff6 4.0.3-2 OK libtinyxml2_2 2.2.0-1 OK libtool 2.4.2-5 OK libudunits-devel 2.2.11-1 OK libudunits0 2.2.11-1 OK libunistring-devel 0.9.4-1 OK libunistring0 0.9.3-2 OK libunistring2 0.9.4-1 OK libustr-devel 1.0.4-12 OK libustr1 1.0.4-12 OK libuuid-devel 2.25.2-1 OK libuuid1 2.25.2-1 OK libvala0.20-devel 0.20.1-1 OK libvala0.20_0 0.20.1-1 OK libvala0.22-devel 0.22.1-1 OK libvala0.22_0 0.22.1-1 OK libverto1 0.2.6-1 OK libvorbis 1.3.4-1 OK libvorbis-devel 1.3.4-1 OK libvorbis0 1.3.4-1 OK libvorbisenc2 1.3.4-1 OK libvorbisfile3 1.3.4-1 OK libwebkitgtk1.0-devel 2.0.4-1 OK libwebkitgtk1.0_0 2.0.4-1 OK libwebp5 0.4.0-1 OK libWindowsWM-devel 1.0.1-1 OK libWindowsWM7 1.0.1-1 OK libWINGs2 0.95.4-1 OK libWINGs3 0.95.6-1 OK libwmf-devel 0.2.8.4-1 OK libwmf027 0.2.8.4-1 OK libwrap0 7.6-22 OK libwraster3 0.95.4-1 OK libwraster5 0.95.6-1 OK libX11-devel 1.6.2-2 OK libX11-xcb-devel 1.6.2-2 OK libX11-xcb1 1.6.2-2 OK libX11_6 1.6.2-2 OK libXau-devel 1.0.8-1 OK libXau6 1.0.8-1 OK libXaw3d8 1.6.2-2 OK libXaw7 1.0.12-2 OK libxcb-composite-devel 1.10-1 OK libxcb-composite0 1.10-1 OK libxcb-cursor-devel 0.1.1-1 OK libxcb-cursor0 0.1.1-1 OK libxcb-damage-devel 1.10-1 OK libxcb-damage0 1.10-1 OK libxcb-devel 1.10-1 OK libxcb-ewmh-devel 0.4.1-1 OK libxcb-ewmh2 0.4.1-1 OK libxcb-glx-devel 1.10-1 OK libxcb-glx0 1.10-1 OK libxcb-icccm-devel 0.4.1-1 OK libxcb-icccm4 0.4.1-1 OK libxcb-image-devel 0.3.9-1 OK libxcb-image0 0.3.9-1 OK libxcb-keysyms-devel 0.3.9-1 OK libxcb-keysyms1 0.3.9-1 OK libxcb-render-devel 1.10-1 OK libxcb-render-util-devel 0.3.9-1 OK libxcb-render-util0 0.3.9-1 OK libxcb-render0 1.10-1 OK libxcb-shape-devel 1.10-1 OK libxcb-shape0 1.10-1 OK libxcb-shm-devel 1.10-1 OK libxcb-shm0 1.10-1 OK libxcb-util-devel 0.3.9-1 OK libxcb-util1 0.3.9-1 OK libxcb-xfixes-devel 1.10-1 OK libxcb-xfixes0 1.10-1 OK libxcb-xtest-devel 1.10-1 OK libxcb-xtest0 1.10-1 OK libxcb1 1.10-1 OK libXcomposite-devel 0.4.3-1 OK libXcomposite1 0.4.3-1 OK libXcursor-devel 1.1.14-1 OK libXcursor1 1.1.14-1 OK libxcwm-devel 20140606-1 OK libxcwm0 20140606-1 OK libXdamage-devel 1.1.4-1 OK libXdamage1 1.1.4-1 OK libXdmcp-devel 1.1.1-1 OK libXdmcp6 1.1.1-1 OK libXext-devel 1.3.3-1 OK libXext6 1.3.3-1 OK libXfixes-devel 5.0.1-1 OK libXfixes3 5.0.1-1 OK libXfont1 1.5.0-1 OK libXft-devel 2.3.2-1 OK libXft2 2.3.2-1 OK libXi-devel 1.7.4-1 OK libXi6 1.7.4-1 OK libXinerama-devel 1.1.3-1 OK libXinerama1 1.1.3-1 OK libxkbfile-devel 1.0.8-1 OK libxkbfile1 1.0.8-1 OK libXm-devel 2.3.4-2 OK libXm4 2.3.4-2 OK libXmHTML0 1.1.7-1 OK libxml2 2.9.2-1 OK libxml2-devel 2.9.2-1 OK libXmu-devel 1.1.2-1 OK libXmu6 1.1.2-1 OK libXmuu1 1.1.2-1 OK libXpm-devel 3.5.11-1 OK libXpm-noX-devel 3.5.10-1 OK libXpm-noX_4 3.5.10-1 OK libXpm4 3.5.11-1 OK libXrandr-devel 1.4.2-1 OK libXrandr2 1.4.2-1 OK libXrender-devel 0.9.8-1 OK libXrender1 0.9.8-1 OK libXRes-devel 1.0.7-1 OK libXRes1 1.0.7-1 OK libxslt 1.1.28-1 OK libXss-devel 1.2.2-1 OK libXss1 1.2.2-1 OK libXt-devel 1.1.4-2 OK libXt6 1.1.4-2 OK libXtst-devel 1.2.2-1 OK libXtst6 1.2.2-1 OK libyaml0_2 0.1.6-1 OK libzzip0.13 0.13.62-1 OK licensecheck 2.14.6-1 OK lighttpd 1.4.35-1 OK linklint 2.3.5-1 OK listres 1.0.3-1 OK lndir 1.0.3-1 OK login 1.11-1 OK lua 5.1.5-1 OK luit 20130217-1 OK lynx 2.8.7-2 OK m4 1.4.17-1 OK make 4.0-2 OK makedepend 1.0.5-1 OK makepasswd 1.10-2 OK man-db 2.6.7-2 OK mboxcheck 0.1.2-2 OK mcpp 2.7.2-2 OK mercurial 3.0.1-1 OK mesa-demos 8.2.0-1 OK mingw-binutils 2.23.1-1 OK mingw-bzip2 1.0.6-2 OK mingw-gcc-core 4.7.3-1 OK mingw-gcc-fortran 4.7.3-1 OK mingw-gcc-g++ 4.7.3-1 OK mingw-gcc-objc 4.7.3-1 OK mingw-libbz2-devel 1.0.6-2 OK mingw-libbz2_2 1.0.6-2 OK mingw-libminizip-devel 1.2.8-1 OK mingw-libminizip1 1.2.8-1 OK mingw-minizip 1.2.8-1 OK mingw-pthreads 20110507-2 OK mingw-runtime 4.0-1 OK mingw-w32api 4.0-1 OK mingw-zlib 1.2.8-1 OK mingw-zlib-devel 1.2.8-1 OK mingw-zlib1 1.2.8-1 OK mingw64-i686-binutils 2.24.0.3.85cf705-1 OK mingw64-i686-bzip2 1.0.6-4 OK mingw64-i686-gcc-ada 4.8.3-1 OK mingw64-i686-gcc-core 4.8.3-1 OK mingw64-i686-gcc-fortran 4.8.3-1 OK mingw64-i686-gcc-g++ 4.8.3-1 OK mingw64-i686-gcc-objc 4.8.3-1 OK mingw64-i686-google-breakpad-devel 1390-1 OK mingw64-i686-headers 3.3.0-1 OK mingw64-i686-libgcrypt 1.5.3-1 OK mingw64-i686-libgpg-error 1.12-1 OK mingw64-i686-minizip 1.2.8-4 OK mingw64-i686-pkg-config 0.28-1 OK mingw64-i686-pthreads 20100619-5 OK mingw64-i686-runtime 3.3.0-1 OK mingw64-i686-windows-default-manifest 6.4-1 OK mingw64-i686-winpthreads 3.3.0-1 OK mingw64-i686-xz 5.0.5-1 OK mingw64-i686-zlib 1.2.8-4 OK mingw64-x86_64-binutils 2.24.0.3.85cf705-1 OK mingw64-x86_64-bzip2 1.0.6-4 OK mingw64-x86_64-gcc-ada 4.8.3-1 OK mingw64-x86_64-gcc-core 4.8.3-1 OK mingw64-x86_64-gcc-fortran 4.8.3-1 OK mingw64-x86_64-gcc-g++ 4.8.3-1 OK mingw64-x86_64-gcc-objc 4.8.3-1 OK mingw64-x86_64-google-breakpad-devel 1390-1 OK mingw64-x86_64-headers 3.2.0-1 OK mingw64-x86_64-libgcrypt 1.5.3-1 OK mingw64-x86_64-libgpg-error 1.12-1 OK mingw64-x86_64-minizip 1.2.8-4 OK mingw64-x86_64-pkg-config 0.28-1 OK mingw64-x86_64-pthreads 20100619-5 OK mingw64-x86_64-runtime 3.2.0-1 OK mingw64-x86_64-windows-default-manifest 6.4-1 OK mingw64-x86_64-winpthreads 3.2.0-1 OK mingw64-x86_64-xz 5.0.5-1 OK mingw64-x86_64-zlib 1.2.8-4 OK mintty 1.2-beta1-1 OK mkcomposecache 1.2.1-1 OK mkfontdir 1.0.7-1 OK mkfontscale 1.1.1-1 OK mm-common 0.9.6-1 OK monotone 1.0-3 OK motif 2.3.4-2 OK msmtp 1.4.31-1 OK nasm 2.10.07-1 OK net-snmp-agent-libs 5.7.2-1 OK net-snmp-devel 5.7.2-1 OK net-snmp-libs 5.7.2-1 OK net-snmp-perl 5.7.2-1 OK net-snmp-python 5.7.2-1 OK netpbm 10.61.02-1 OK obconf 2.0.4-1 OK Empty package ocaml ocaml 4.01.0-2 OK ocaml-base 4.01.0-2 OK ocaml-camlp4 4.01.0-2 OK ocaml-compiler-libs 4.01.0-2 OK oclock 1.0.3-1 OK offlineimap 6.5.5-1 OK onc-rpc-devel 2.19_20140816-1 OK openbox 3.5.2-2 OK openbox-devel 3.5.2-2 OK openjade 1.4devel1-3 OK openldap-devel 2.4.40-1 OK OpenSP 1.5.2-2 OK openssh 6.7p1-1 OK openssl 1.0.1j-1 OK openssl-devel 1.0.1j-1 OK p11-kit 0.20.7-1 OK p11-kit-trust 0.20.7-1 OK patch 2.7.1-1 OK patcher 0.0.20040521-1 OK patchutils 0.3.3-1 OK perl 5.14.4-1 OK perl-Archive-Zip 1.30-1 OK perl-Authen-SASL 2.16-1 OK perl-Business-ISBN 2.06-1 OK perl-Business-ISBN-Data 20120719.001-1 OK perl-Business-ISMN 1.11-1 OK perl-Business-ISSN 0.91-1 OK perl-Capture-Tiny 0.22-1 OK perl-Clone 0.34-1 OK perl-Config-AutoConf 0.22-1 OK perl-Data-Compare 1.22-1 OK perl-Data-Diver 1.0101-1 OK perl-Data-Dump 1.22-1 OK perl-Date-Simple 3.03-1 OK perl-DBD-mysql 4.023-1 OK perl-DBD-SQLite 1.37-4 OK perl-DBI 1.623-2 OK perl-Digest-HMAC 1.03-1 OK perl-Digest-SHA1 2.13-1 OK perl-Encode-EUCJPASCII 0.03-1 OK perl-Encode-HanExtra 0.23-1 OK perl-Encode-JIS2K 0.02-1 OK perl-Encode-Locale 1.03-1 OK perl-Error 0.17019-1 OK perl-ExtUtils-Depends 0.306-1 OK perl-ExtUtils-LibBuilder 0.04-1 OK perl-ExtUtils-PkgConfig 1.15-1 OK perl-File-Find-Rule 0.33-1 OK perl-File-Listing 6.04-1 OK perl-File-Slurp 9999.19-1 OK perl-File-Slurp-Unicode 0.7.1-1 OK perl-Getopt-ArgvFile 1.11-1 OK perl-gv 2.38.0-1 OK perl-HTML-Parser 3.70-1 OK perl-HTML-Tagset 3.20-1 OK perl-HTTP-Cookies 6.01-1 OK perl-HTTP-Daemon 6.01-1 OK perl-HTTP-Date 6.02-1 OK perl-HTTP-Message 6.06-1 OK perl-HTTP-Negotiate 6.01-1 OK perl-Image-Magick 6.7.6.3-4 OK perl-IO-HTML 1.00-1 OK perl-IO-Socket-IP 0.22-1 OK perl-IO-Socket-SSL 1.997-1 OK perl-IPC-Run3 0.045-1 OK perl-List-AllUtils 0.03-1 OK perl-List-MoreUtils 0.33-1 OK perl-Locale-gettext 1.05-12 OK perl-Log-Log4perl 1.41-1 OK perl-LWP 6.05-1 OK perl-LWP-MediaTypes 6.02-1 OK perl-LWP-Protocol-https 6.04-1 OK perl-MailTools 2.12-1 OK perl-MIME-Charset 1.009.3-1 OK perl-ming 0.4.5-1 OK perl-Module-ScanDeps 1.10-1 OK perl-Mozilla-CA 20130114-2 OK perl-Net-HTTP 6.06-1 OK perl-Net-Libproxy 0.4.11-2 OK perl-Net-SMTP-SSL 1.01-1 OK perl-Net-SSLeay 1.65-1 OK perl-Number-Compare 0.03-1 OK perl-PAR 1.007-1 OK perl-PAR-Dist 0.49-1 OK perl-PAR-Packer 1.014-1 OK perl-Params-Util 1.07-1 OK perl-Proc-ProcessTable 0.48-1 OK perl-Readonly 1.03-1 OK perl-Readonly-XS 1.05-1 OK perl-Regexp-Common 2013031301-1 OK perl-SGMLSpm 1.03ii-3 OK perl-Term-ReadKey 2.30-1 OK perl-Term-ReadLine-Gnu 1.20-1 OK perl-Text-BibTeX 0.66-1 OK perl-Text-CharWidth 0.04-2 OK perl-Text-CSV 1.32-1 OK perl-Text-CSV_XS 1.12-1 OK perl-Text-Glob 0.09-1 OK perl-Text-WrapI18N 0.06-2 OK perl-Tie-Cycle 1.17-1 OK perl-TimeDate 2.30-1 OK perl-Tk 804.030-3 OK perl-Tk-Canvas-GradientColor 1.05-1 OK perl-Tk-ColoredButton 1.05-1 OK perl-Tk-EntryCheck 0.04-1 OK perl-Tk-Getopt 0.50-1 OK perl-Tk-Pod 0.9941-1 OK perl-Unicode-Collate 0.97-1 OK perl-Unicode-GCString 2012.10-1 OK perl-URI 1.60-1 OK perl-WWW-RobotRules 6.02-1 OK perl-XML-LibXML 2.0018-1 OK perl-XML-LibXML-Simple 0.93-1 OK perl-XML-LibXSLT 1.80-1 OK perl-XML-NamespaceSupport 1.11-1 OK perl-XML-Parser 2.41-1 OK perl-XML-SAX 0.99-2 OK perl-XML-SAX-Base 1.08-1 OK perl-XML-Simple 2.20-1 OK perl-YAML 0.84-1 OK pkg-config 0.28-1 OK pl 6.6.6-1 OK pl-devel 6.6.6-1 OK pl-odbc 6.6.6-1 OK pl-static 6.6.6-1 OK pl-xpce 6.6.6-1 OK po4a 0.44-1 OK poco 1.4.7p1-1 OK poppler-data 0.4.6-1 OK popt 1.16-1 OK popt-devel 1.16-1 OK postgresql-devel 9.3.5-2 OK presentproto 1.0-1 OK procps 3.2.8-3 OK pstoedit-devel 3.61-1 OK pwget 2013.0911+gitaf1c897-2 OK pylint 1.1.0-1 OK python 2.7.8-1 OK python-avahi 0.6.31-2 OK python-backports.ssl_match_hostname 3.4.0.2-1 OK python-beautifulsoup 3.2.1-1 OK python-bonobo2 2.28.1-3 OK python-botan 1.10.8-2 OK python-bsddb3 5.3.0-2 OK python-caca 0.99.beta19-1 OK python-cairo 1.10.0-3 OK python-cairo-devel 1.10.0-3 OK python-chardet 2.0.1-2 OK python-crypto 2.6-1 OK python-dbus 1.2.0-1 OK python-dbus-devel 1.2.0-1 OK python-egg 2.29.0-2 OK python-fastimport 0.9.2-1 OK python-feedparser 5.0.1-2 OK python-gamin 0.1.10-15 OK python-gconf2 2.28.1-3 OK python-gdata 2.0.18-1 OK python-gi 3.10.2-1 OK python-gi-common 3.10.2-1 OK python-gi-devel 3.10.2-1 OK python-gnome2 2.28.1-3 OK python-gnomecanvas2 2.28.1-3 OK python-gnomevfs2 2.28.1-3 OK python-gobject 2.28.6-5 OK python-gobject-devel 2.28.6-5 OK python-goocanvas 0.14.1-4 OK python-gst0.10 0.10.22-2 OK python-gst0.10-devel 0.10.22-2 OK python-gtk2.0 2.24.0-3 OK python-gtk2.0-demo 2.24.0-3 OK python-gtk2.0-devel 2.24.0-3 OK python-gtksourceview2 2.10.1-2 OK python-gtkspell 2.29.0-2 OK python-gv 2.38.0-1 OK python-h5py 2.3.1-1 OK python-htmltmpl 1.22-3 OK python-imaging 1.1.7-5 OK python-libproxy 0.4.11-2 OK python-libxml2 2.9.2-1 OK python-libxslt 1.1.28-1 OK python-logilab-astng 0.23.1-2 OK python-logilab-common 0.58.0-2 OK python-lxml 3.2.3-1 OK python-magic 5.20-1 OK python-mako 0.7.2-2 OK python-markupsafe 0.15-2 OK python-ming 0.4.5-1 OK python-numpy 1.7.2-1 OK python-openssl 0.13.1-1 OK python-orbit 2.24.0-12 OK python-orbit-devel 2.24.0-12 OK python-paramiko 1.14+20140525+gite811e71-1 OK python-pyasn1 0.1.6-1 OK python-pygments 1.5-2 OK python-pyqt4 4.11.2-1 OK python-pyrex 0.9.9-2 OK python-requests 2.3.0-1 OK python-setuptools 0.6.34-1 OK python-simplejson 3.6.3-1 OK python-sip 4.16.4-1 OK python-six 1.7.3-1 OK python-tdb 1.2.11-2 OK python-test 2.7.8-1 OK python-tkinter 2.7.8-1 OK python-twisted 12.3.0-1 OK python-urllib3 1.8.2-1 OK python-webkit 1.1.8-2 OK python-webkit-devel 1.1.8-2 OK python-xdg 0.25-2 OK python-zope.interface 4.0.3-1 OK python3 3.2.5-4 OK python3-bsddb3 5.3.0-1 OK python3-caca 0.99.beta19-1 OK python3-cairo 1.10.0-3 OK python3-cairo-devel 1.10.0-3 OK python3-chardet 2.0.1-2 OK python3-dbus 1.2.0-1 OK python3-doc 3.2.3-1 OK python3-gi 3.10.2-1 OK python3-h5py 2.3.1-1 OK python3-libproxy 0.4.11-2 OK python3-lxml 3.2.3-1 OK python3-magic 5.20-1 OK python3-mako 0.7.2-1 OK python3-markupsafe 0.15-1 OK python3-numpy 1.7.2-1 OK python3-openssl 0.13.1-1 OK python3-pygments 1.5-1 OK python3-pyqt4 4.11.2-1 OK python3-requests 2.3.0-1 OK python3-setuptools 0.6.34-1 OK python3-sip 4.16.4-1 OK python3-six 1.7.3-1 OK python3-test 3.2.5-4 OK python3-tkinter 3.2.5-4 OK python3-urllib3 1.8.2-1 OK python3-xdg 0.25-1 OK qt3-devel-tools 3.3.8b-14 OK qt3-qtconfig 3.3.8b-14 OK quilt 0.63-1 OK randrproto 1.4.0-1 OK rarian 0.8.1-12 OK rats 2.3-1 OK rcs 5.9.3-1 OK rebase 4.4.1-1 OK recordproto 1.14.2-1 OK rendercheck 1.4-1 OK renderproto 0.11.1-1 OK resourceproto 1.2.0-1 OK rgb 1.0.5-1 OK robodoc 4.99.41-1 OK rpm 4.11.1-1 OK rpm-devel 4.11.1-1 OK rsnapshot 1.3.1-2 OK rstart 1.0.5-1 OK rsync 3.0.9-1 OK ruby 2.0.0-p598-1 OK ruby-io-console 0.4.2-1 OK ruby-json 1.8.1-1 OK ruby-minitest4 4.7.5-1 OK ruby-psych 2.0.6-1 OK ruby-rake 10.3.2-1 OK ruby-rdoc 4.1.2-1 OK rubygems 2.4.1-1 OK run 1.3.3-1 OK scons 2.3.4-1 OK scrnsaverproto 1.2.2-2 OK sed 4.2.2-3 OK sendxmpp 1.22-1 OK sessreg 1.0.8-1 OK setxkbmap 1.3.0-1 OK sgml-common 0.6.3-3 OK shared-mime-info 1.3-3 OK showfont 1.0.4-1 OK signify 1.14-1 OK smproxy 1.0.5-1 OK sound-theme-freedesktop 0.7-1 OK source-highlight 3.1.7-5 OK spambayes 1.0.4-2 OK splint 3.1.2-1 OK splitpatch 0.0+20131223+gitb13d810-1 OK stgit 0.17.1-1 OK subversion 1.8.10-2 OK subversion-apache2 1.8.10-2 OK subversion-devel 1.8.10-2 OK subversion-gnome 1.8.10-2 OK subversion-perl 1.8.10-2 OK subversion-python 1.8.10-2 OK subversion-ruby 1.8.10-2 OK subversion-tools 1.8.10-2 OK svn-load 1.3-1 OK svn_load_dirs 1.8.0-1 OK swig 2.0.12-1 OK t1lib-devel 5.1.2-1 OK t1lib5 5.1.2-1 OK t1libx-devel 5.1.2-1 OK t1libx5 5.1.2-1 OK tailor 0.9.35+darcs20090615-2 OK tar 1.27.1-1 OK tcl 8.5.11-1 OK tcl-tix 8.4.3-2 OK tcl-tk 8.5.11-1 OK tcm 2.20-1 OK ted 2.23-2 OK terminfo 5.9-20140524-1 OK terminfo-extra 5.9-20140524-1 OK texinfo 5.2-3 OK texlive 20140523-1 OK texlive-collection-basic 20140523-1 OK texlive-collection-bibtexextra 20140523-1 OK texlive-collection-binextra 20140523-1 OK texlive-collection-fontsrecommended 20140523-1 OK texlive-collection-latex 20140523-1 OK texlive-collection-latexextra 20140523-1 OK texlive-collection-latexrecommended 20140523-1 OK texlive-collection-mathextra 20140523-1 OK texlive-collection-pictures 20140523-1 OK transfig 3.2.5d-1 OK transset 1.0.1-1 OK twm 1.0.8-1 OK tzcode 2014j-1 OK unifdef 2.6-1 OK unzip 6.0-11 OK urlgrabber 3.1.0-3 OK util-linux 2.25.2-1 OK uw-imap 2007f-2 OK uw-imap-imapd 2007f-2 OK uw-imap-util 2007f-2 OK vala 0.22.1-1 OK vala-libcanberra 0.30-1 OK viewres 1.0.4-1 OK vim 7.4.527-1 OK vim-common 7.4.527-1 OK vim-minimal 7.4.527-1 OK w32api-headers 3.3.0-2 OK w32api-runtime 3.3.0-1 OK weechat-devel 1.0.1-1 OK wget 1.16-1 OK which 2.20-2 OK WindowMaker 0.95.6-1 OK windows-default-manifest 6.4-1 OK windowswmproto 1.0.4-1 OK x11perf 1.5.4-1 OK xauth 1.0.9-1 OK xbitmaps 1.1.1-1 OK xcb-proto 1.10-1 OK xclipboard 1.1.3-1 OK xclock 1.0.7-1 OK xcmiscproto 1.2.2-2 OK xcmsdb 1.0.4-1 OK xcompmgr 1.1.6-1 OK xconsole 1.0.6-1 OK xcursor-themes 1.0.4-1 OK xcursorgen 1.0.6-1 OK xdbedizzy 1.1.0-1 OK xdg-user-dirs 0.15-1 OK xditview 1.0.3-1 OK xdpyinfo 1.3.1-1 OK xev 1.2.1-1 OK xextproto 7.3.0-1 OK xeyes 1.1.1-1 OK xf86-video-dummy 0.3.7-2 OK xf86-video-nested 0.1.0-5 OK xf86bigfontproto 1.2.0-1 OK xfd 1.1.2-1 OK xfig 3.2.5b-1 OK xfig-lib 3.2.5b-1 OK xfontsel 1.0.5-1 OK xfs 1.1.3-20140603-1 OK xfsinfo 1.0.4-1 OK xgc 1.0.4-1 OK xhost 1.0.6-1 OK xineramaproto 1.2.1-1 OK xinit 1.3.4-1 OK xinput 1.6.1-1 OK xkbcomp 1.2.4-1 OK xkbevd 1.1.3-1 OK xkbprint 1.0.3-1 OK xkbutils 1.0.4-1 OK xkeyboard-config 2.12-1 OK xkill 1.0.4-1 OK xlaunch 20140605-1 OK xload 1.1.2-1 OK xloadimage 4.1-2 OK xlogo 1.0.4-1 OK xlsatoms 1.1.1-1 OK xlsclients 1.1.3-1 OK xlsfonts 1.0.4-1 OK xlsx2csv 0.11+20120814+gitf54ab78-1 OK xmag 1.0.4-1 OK xmessage 1.0.4-1 OK XmHTML 1.1.7-1 OK XmHTML-devel 1.1.7-1 OK xml2po 0.20.10-2 OK xmltoman 0.4-2 OK xmodmap 1.0.8-1 OK xmon 1.5.6-3 OK xmore 1.0.2-1 OK xorg-cf-files 1.0.5-2 OK xorg-docs 1.7-1 OK xorg-scripts 1.0.1-1 OK xorg-server 1.16.2-1 OK xorg-server-common 1.16.2-1 OK xorg-server-devel 1.16.2-1 OK xorg-server-dmx 1.16.2-1 OK xorg-server-extra 1.16.2-1 OK xorg-sgml-doctools 1.11-1 OK xorg-util-macros 1.19.0-1 OK xpdf 3.03-4 OK xpr 1.0.4-1 OK xprop 1.2.2-1 OK xproto 7.0.26-1 OK xrandr 1.4.3-1 OK xrdb 1.1.0-1 OK xrefresh 1.0.5-1 OK xscope 1.4-1 OK xset 1.2.3-1 OK xsetroot 1.1.0-1 OK xsm 1.0.3-1 OK xstdcmap 1.0.3-1 OK xterm 308-1 OK XtoW 20130802-2 OK xtrans 1.3.4-1 OK xwd 1.0.6-1 OK xwinclip 1.16.2-1 OK xwininfo 1.1.3-1 OK xwud 1.0.4-1 OK xxd 7.4.527-1 OK xz 5.0.5-1 OK yasm 1.3.0-1 OK yasm-devel 1.3.0-1 OK yelp-tools 3.10.0-1 OK yelp-xsl 3.10.1-1 OK zlib-devel 1.2.8-3 OK zlib0 1.2.8-3 OK Use -h to see help about each section