From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: (qmail 22173 invoked by alias); 8 Nov 2007 01:56:34 -0000 Received: (qmail 21965 invoked by uid 22791); 8 Nov 2007 01:56:33 -0000 X-Spam-Check-By: sourceware.org Received: from pop132.ocn.ne.jp (HELO pop132.ocn.ne.jp) (60.37.31.215) by sourceware.org (qpsmtpd/0.31) with ESMTP; Thu, 08 Nov 2007 01:56:29 +0000 Received: from ariga (p1143-ipbf2202marunouchi.tokyo.ocn.ne.jp [122.17.131.143]) by pop132.ocn.ne.jp (OCN) with SMTP id lA81uMDS023638; Thu, 8 Nov 2007 10:56:22 +0900 (JST) Message-ID: <002101c821aa$8ea9d1b0$1c0110ac@ariga> From: "ariga masahiro" To: "Gary Thomas" , "Alok Singh" , "Andrew Lunn" Cc: References: <47173F99.80405@mlbassoc.com> <000601c8120c$667aa3c0$1c0110ac@ariga> <47187EEB.5020109@mlbassoc.com> <000501c8154d$ecc04db0$1c0110ac@ariga> <000301c816ab$6acbf7f0$1c0110ac@ariga> <000a01c81a9e$6b8c2240$1c0110ac@ariga> <001a01c82044$937a9b50$1c0110ac@ariga> <001501c8204f$486d3180$1c0110ac@ariga> <20071106083527.GB16191@lunn.ch> <000301c820cf$6a8a0b50$1c0110ac@ariga> <000801c820da$3d702c20$1c0110ac@ariga> <002101c8210d$f76211b0$1c0110ac@ariga> <001301c82117$a6bb3430$1c0110ac@ariga> Date: Thu, 08 Nov 2007 01:56:00 -0000 MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="----=_NextPart_000_001E_01C821F5.FE61DE30" X-Mailer: Microsoft Outlook Express 6.00.2900.2869 X-IsSubscribed: yes Mailing-List: contact ecos-discuss-help@ecos.sourceware.org; run by ezmlm Precedence: bulk List-Id: List-Subscribe: List-Archive: List-Post: List-Help: , Sender: ecos-discuss-owner@ecos.sourceware.org Subject: Re: [ECOS] Can't Connect,TCP CHECKSUM INCORRECT X-SW-Source: 2007-11/txt/msg00047.txt.bz2 ------=_NextPart_000_001E_01C821F5.FE61DE30 Content-Type: text/plain; format=flowed; charset="ISO-8859-1"; reply-type=original Content-Transfer-Encoding: 7bit Content-length: 3451 Hello, Alok,thank you very much for your reply. Alok wrote > It will better if you remove all your little/big endian hacks. Or Take a > fresh view. And take care of the following - I checked two parameters you suggest,but I was encountered next questions for each. Please allow my ignorance and teach me how to settle it. About, > 1) CYGPKG_HAL_MIPS_MSBFIRST - should be defined, (and not > CYGPKG_HAL_MIPS_LSBFIRST) My target uses SH7709S. CYGPKG_HAL_MIPS_MSBFIRST is included next cdl files, packages\hal\mips\idt32334\current\cdl\hal_mips_idt32334.cdl(68): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { packages\hal\mips\mips32\current\cdl\hal_mips_mips32.cdl(96): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { packages\hal\mips\rm7000\var\current\cdl\hal_mips_rm7000.cdl(118): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { packages\hal\mips\tx39\current\cdl\hal_mips_tx39.cdl(78): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { packages\hal\mips\tx49\current\cdl\hal_mips_tx49.cdl(119): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { packages\hal\mips\upd985xx\current\cdl\hal_mips_upd985xx.cdl(201): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { packages\hal\mips\vrc4373\current\cdl\hal_mips_vr4300_vrc4373.cdl(82): cdl_option CYGPKG_HAL_MIPS_MSBFIRST { but my target never uses above cdl file. My target's configuration is like next in ecos.db. target inserter { alias { "Hitachi inserter board" } packages { CYGPKG_HAL_SH CYGPKG_HAL_SH_SH3 CYGPKG_HAL_SH_SH77X9_inserter CYGPKG_IO_FLASH CYGPKG_DEVS_FLASH_SH_inserter CYGPKG_DEVS_FLASH_AMD_AM29XXXXX CYGPKG_DEVS_ETH_SMSC_LAN91CXX CYGPKG_DEVS_ETH_SH_INSERTER CYGPKG_IO_ETH_DRIVERS CYGPKG_IO_SERIAL_SH_inserter CYGPKG_IO_SERIAL_SH_SCIF } description " The inserter target provides the packages needed to run eCos on a Hitachi Solution Engine 77x9 board." } Please tell me where and how to include CYGPKG_HAL_MIPS_MSBFIRST. I send my ecos.db for reference. About, > 2) # define CYG_BYTEORDER as CYG_MSBFIRST (and not as CYG_LSBFIRST). It > should be decided based on option 1) actually. CYG_BYTEORDER is defined as below in packages\hal\sh\arch\current\include\basetype.h(60) #ifdef __LITTLE_ENDIAN__ # define CYG_BYTEORDER CYG_LSBFIRST // Little endian #else # define CYG_BYTEORDER CYG_MSBFIRST // Big endian #endif __LITTLE_ENDIAN__ are defined in next files. packages\hal\common\current\include\hal_stub.h(100): #if (CYG_BYTEORDER==CYG_LSBFIRST) # if !defined(__LITTLE_ENDIAN__) # define __LITTLE_ENDIAN__ # endif # if !defined(_LITTLE_ENDIAN) # define _LITTLE_ENDIAN # endif #endif packages\redboot\current\include\net\net.h(86): #if (CYG_BYTEORDER == CYG_LSBFIRST) #ifndef __LITTLE_ENDIAN__ #define __LITTLE_ENDIAN__ #endif extern unsigned long ntohl(unsigned long x); extern unsigned short ntohs(unsigned short x); #else #define ntohl(x) (x) #define ntohs(x) (x) #endif I think redboot program space is differnt so I could exclude it. The question is, between basetype.h and hal_stub.h which is included first ? I never defined __LITTLE_ENDIAN__, so I thought even right now, # define CYG_BYTEORDER CYG_MSBFIRST // Big endian Isn't it? I look forward your reply. Thanks in advance. Masahiro Ariga ------=_NextPart_000_001E_01C821F5.FE61DE30 Content-Type: application/x-gzip; name="ecos.db.gz" Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="ecos.db.gz" Content-length: 46088 H4sICH6CDEcAA2Vjb3MuZGIAzJ1Zc9rKtoCf41/R5VSdm1TZZrAJ5uy6D7Is 29yYYQPJdp4oIQmjE5CIJBxTp/Z/v2v1oHlojL0xZSNorV7q9fXqQd2t5uP/ vubr6OPRRwIvy3D9M3Mmvk4Wtk/m9tIicNRJYK3Wrqd7W2LqgT7TfYvMXY9Y quuTtW781B9B0IGglR7YrnPGlHQD4lnrpW5YPgkWFnGXppAGpY5JAt17tAJ2 If+EBv22l0tQRYKN5zAtM4v4m5m/WVkmmW1ByAVdHkuc4TqBbju280hWrodp iCXh6OMrk8KXpg7Gt8N7dTD8Mere3k2utNtuH0/AaXIq/0LxBOS17gXEnVOm JxSXtppZpglWq64ztx83nj4D0cHa8sBCsHi89SFfzlCT6q63nv24CMgn9TNp 1usXJ/jeotpUywk82yD39soOLDMr3+h0Lk/wvUNj1el7g743yQgScKcHJ6Tr GHgtjE7zHdPuWZA57jz4rXvWH2TrboihO5Dppu3DJWebAEwLMF9r4C0r17Tn WwzYOKbloSI0M7C8lY+W45fb/jdyazlg4pIMN7MlTbZhOeBwOjDCEH/BHAHF byABqGfM00BuXNBNHeAPYtnUUZ4sz4fvpEkgDZ/0AJPpEXeNQp8hbVuy1INI Lm1jZIpJ/RKuunDXkOwFqAJbqMOCj258a75ZnhCQJH91J3eDbxOi9H+gqr+U 0UjpT378AcLBwgUB68liquzVemmDZki8pzvBFjn0tJF6B/LKVfe+O/kByUYl N91JXxuPyc1gRBQyVEaTrvrtXhmR4bfRcDDWzggZW1YFRFQ0p1kBrEwLys7S Fwb/gNzzIXVQSBf6kwW5aFj2E6RNh2K23srk0NJ1HlEVmkkB/kHsOYECe0J+ e+B7JHDDbMvLM+ZkJ6ii1SETqHTA4YdYgZyQ8QYVnJ+Dd165foDCPYXUm41G 47RxXm+Tb2NFmKJgneWvLcOGRFrPhrVm2iExUeUBuev4AUC3dUwZXgw++Ogl kJdkpRue66M2CLCdpe1AnbdxDNSEnu+uwBRefk9Qhnq/u1pjeQ7P0DoN4v4E VwnJsDT8dr2fPhJZe665MUCUBhGsXU3iOnH1kT7ThSQCUVQ2w8LkW8s5lDpM MqL1LH+zpNUDVQbqZ1hNgnNHpSabhaiN5+IZuXN/g396VNSHsmKgApPV+FFC Vhs/IH7AvX+lg4D+BP6ElRSqg7KiG4brmboDCqjdvkXpkU/nn6u9SeQlrSTD PAztB/1P+tI2Me+wDDOmnqX7mD2/F9s0TlbdhKmnlZ8Mmnh6dqzgc5oLrX/N Gos3aJo+XmtjddQdTrqDfqxZglZU2UC1433yP//7wwyamScIugZw//7wASv9 0/oXKEFUMGqReMuKDTcW1qUb0Do63shj+87rEMpONO1HH0VFicXBdaD5wX6A 69uB621Z28ZafjJzdc/0oX1fQ/ciENngYkUVqmP9AijPFm0fo4JKa2Bsb1jt a6Kzx4o0qDBEwyk6JUdpTm+TH5AjR6JbpP64HX69nd4p9+S/R4S/wHN1/8OH /5Jj2swApxX4NsgcQ927xP8pD/v76INpQ1WM6D5AeI2FH33wDc9eBx8w7Mww lyBmsSAsJcdHE4BMdeOFRVqgpnmysaASxI2VxFLfYnUIJXsBJcLyTpdQ9Je0 M4L5fUTrANrPgEwyFtgIY9BPy3NAjPbh4KsKVdwMu4dnRNNBiubCckmxH8Xb lFhifHpV8A4i2iPdMxZQxxvQ9RO5TjuZoPEofg4v6WGVs8Wcj2uI/AhdBD3V p15q+0cOtGa+jz1Y8BKoM0EVjcodMaEfr4znhBJe8+lBIhlnUFosmmxs+XwX qDob6LJ56KUJz+OdDV/osESGQ1IAU4LK2fHR3xnn6fZvRgq4zwfqNwQdp+vM PR16JhuWZBu/Jp2FBkV+Qr9STxFOmHQYlSdpu+adc9ah4e3g2dHE0w30GFoY fd/yaLw5BC7twIaEHw15oiHvvWCzFlafHZM8kwZJe467tQH280+Ztx0T202Z 42Zc33ZL7CHRKywL3JNtVuOwjhc2TPGcgz7qHLLVCeIaTOsJWgFiejb2Es/4 /ZEwKVZXWtjeQKNBraFXi6vh/gnNk42lJIrACgP6grhErhsMpmNt1KU1SRzd 2PKwo5NM5DF4OQ223Sn/lObJguM8uaQs1jwG/PLUoBgLy8PE+dgQx4HEU15o tKr0UxZjSNpcbAnAAjxkHEdPeA3K/GMmRknNt+9a+z5GC6c99abVfH6e3txr D8zisKUg8ReYz0XJzdJ6zkFxRApeIOej8dOVMafXmoMCBJb6zt1gyhQCToy8 gKYa++v0S4Q30oyYa6svlz9rXB2V5NijRMSuj5nw4SidA+x1TLthwDZjIK+O seo/hep4XWSuoAP3nRvW3ScCnOouzRswAVtEbBfcghIXZo4y6TTGSq9dliuh zIuyRA86DV9ftYn4QENfkAO6t6qhjppQVJwNQqI0H5RgBU19aByHnjWyHOD9 UG0+PDyU8UORZ8ycl+Bbrg0amR/3gcdVFGPjAqXUhDEvxTUYDEtZuW6OTilQ GBPfsogKqaB4FofQVs4BBGZgZBGAnOp+qPbUbqq7c8wD/wVFdwVRAijdur8Q LeoxWRsruNvHBoB/MuaZZoCdSbQELGifxoA1AAZ4V9QCiHYeKyqW8Lge7EEl rahsH5iSqTIeK1faJN38K43Gc72mQPd2Bl1ZOVJTnYvzo8AmvgI+wJM8meBJ nYOFsyqHiUZ0k9dh9yiJQvgC2CL+SwmzYRWLpJDR29BK+qo2uslHr1reXJa7 gbL4Fjoqfma4Y8GlrFEuAxoD3yVlSkce8fA6XfZjetamvgtoFOfHOG78GhGP TlZCB9Fc7hD+btFj2qq4d4fKn+nhidjlojywUVAyA+y1/ovgm0BPPzPuseC/ I1aCfcgumQMYKRRmWKM0xi6aqM7fS47Qk8ADAa5d4yetqYvueG7ulfFdqhSI /jA7t7JWCCpkPqfXTLd4NDTR4NGQfdq7R56MOI54kop9jUpNlVFvqijaNU40 pct5TCWzh1sJKVMe7SUOJIZRaYXC7Z7qumXS0GwJpgKsheJCEQ8e2VuFCt6w FMctOsIuFHeMjGVi2KmSZO8a/pudhwfWsS5CCXIE5Z7xlUhGiG9lwr+QKGS4 MmuhVAZiXMUbUkwYE9eRcJjKDhUnOOlp9/De7KhVDPlNEEgWU0QZeG92jHKO KFcL5TIkk2rekmXSqNeiedGRhHnRqWTJRapQMrEikuzsPwCSJ2NfjlBDDrvX u1SOo14NYyTrRKjW1rZZWiXC+bzaEIIPURGOegStkK7/gJPWnuTcJgOuvFYy HDjCK2lP+nKjA5TT9kQgs9pB+laY8G5IxAyEYvfCsWzG6GHL+ibk4kYJFTF4 cZOkKcKfdv213a5f7uBwd3agGwu7JmImHA9JmD9peJHvwR8XSbtfFPkAHsjN IsIsWYbqn+12a5fejPprpGlfyfiOxkvQM37RsBJyTCINjoUeAFrSFllkve5w PB2o2v0gM5xRwm3YU0/HtuV5em1gWEs3SLL7ZZlTl4UX8VvZa7/GZNIE8RSP fgCMkWmEm7YTyu8j9eK8XdbqplmKGGwOXiB88gwILW5xKT8ulAuQnzsAQWHQ TtxG2k33enJ+fpEihycJnCHtzkg9b6KAZ9GJQSM+MZy88WUYQM42A4xRChFk 2h0fxPRcjqGWA5BEuykATF2O3RJtyt243W52hmq3fFhB1Ljju1oUo+QOd7rw UWpt2KRi3CCsLsMYhUMHYcsTir79CEJeU05IuiWKQdmB/Vhrtx860uC5eBl1 32q3nzvSyJl4NW8m925gcxBJ0h8/1mpwW96udxoX5djh8paHK0llwYcRytCH QrLwRYRq/ELy3WRAaOuOzt5q7OTsIF7l7CCyi7O3GnLO3mq8G9YcxA6ke111 NICgioHizdry7gD1xWmz3iQ92/Dca+uJqMNvrKtRyn6F4sBXmr6IUMFfiB0c fzUe2RzRrsZK87KV6rcU3veOA891HultIkQ8hZjhXe/M11FR2UABl0n3VHjw G3ZREjhjXZSsOfKurDQa9Xqvtzs5FpP0cIX1yjJtPawydDyxWpUyFEKZW14e flCKGdPk/fD6qp0d72NXjKdBtT1v45N799E2iDZs47geW5ydvAOxzFm7dMwP 3ZHJZNyRBR8AZLFxAuMn/3P1AGqnIeuU0aocot2o0bBpp1E+BwIC2WHSTuMN kYWPMTFb4lowoucul9Di67icbuOEz9iI9UbjEGBldXiRHoGRgFfDaFFFeFE+ g4QC2Srw4i1HXYocLmXCLm6G8ukpdllUSpyVXgkrc2tLAw+PS9mRV/NluJox Ws0qWM0cVs2Do2ruRqol3R9JXKYV64y0qnoirZxuSOsQfZCUCbuQ+r/JOD3u WUEKYwhI/wn84hFOhIQCaUgYdjBI1N4d+AzvfqiDkSaLCMQnmkrWiy2NhVnS a7Uu61F9BacMfESkdDaMyaTB8eADsCs2a6duRe6qwUKWXSeADMP+YKNOPvEl cp/DHgZfMlfayUgvBUxEPQBHblKss3tKrRPr/6TnebIrAMswepDQrRGixMVY IUe6DLAMYnKNXxTpMPiSpsis6IuIZRf07QhtbeoJbriqrwpdYqVeIup7AVi6 Lo/R48vyXlBsu2v9V8iMLsQrA0YX1qVohQvp3kdRZevmJMspPkKj9LVJOFKV M05VCBIfG9EdKxySmvPv4ZBUyYAUwhTyXDp/PEoIxcajDjIahXThtl/YLD93 NlQzE96FREGYtM7C26zV2iiZ4EaEVCIzK4aBB/BInvpKIsr9RLqeo5NrPX0Z 6MkJ7BUNKp02pCIZNhh4CDaRHTut2nlQlfvu1beRdBd4GViejotcOjXt2YAo s423Czp65yAiZm4fxIlDdI+ZZZFRu3Ac966/Ni8a9fIR+eKBTX3lb5xHhjXU lVzLszJ/0lCp8Xg6ysljVE2IcLHDD8hzCKGlu2RAt98ffFcmA2lHZk2c4z7h Mq1oio8HlDfSQirTUosTB2muRdJ3waZ0e+1GxZxd6XrHbo+ggsx6R98xfXlX 1e0VaKlwVLo6nAq+vasm1mNkXRX7Q13H3OCGRPqS9OhzrSEM2QzoK/30s/dy nUpHd1zNebQdSyDHkFKfRYG0u2LYgac6TlP2yE8dTUZKppUvezAjTjHw9ECn QsfEp18K2dkYo8aEMtNFNPR1CIqHOXJJ0mRThLGk77iWeTyeTM8732/yH/1N FXMQJkI4b1G47weg7GmO50uX6YJgDQWTT/HGS7VQJWaL3qxIC5tk1oWTb05g L4nj/saNxbgWP1RRv6yfxHXQsMYX+ugRfr6AjrxktnT7E+1+2ry8yU7cSXoz xH2mOxCgHXS7GJ5LdPegcr9uXs5zJu/ouSk79496980L1+g/jKEXq027f17W zxvSN0Pq1lji5jI8WtgH+MW0lPYAmEwGHAs+xKxn0hbpapTKN6XnOrl4AlWz fJ6Ty+Siar7lbGdhR4mbLI3o4Xp40ZSeTeHiIaJnc42xSxExmQwiFnwIRNxk WUQPY61/2+1rUT9yl5sfiJ3oyzz7FusLhN3Hit6jiCDE87uPQireeUxTLYK6 /00OJytslUZ7O7qV9TwQFQgfvcdSj4PzaW+DoIMNMoqWbmT5tmnhpnEQpgfW b30rDWo4GvZUOoQmO1Pl8QjhxJS3XhlUQ+nUlJDKTE6JE4cY/XEhqe5Sj4za 8eZ5ot2O+N2zSNYuRZjeD4VKorvpwHr0+O10iKG8LEdxKu4GI8GDD1wkrZcf ub3KX6qVN0oZ5q/72/KGau3yS51A/HDIbVa8SmuNUdZGDWQyg5WzQ6zQKjJG Gtxk/EUW3LW1XC9sfOR/tXFsg20CyLZr9tket2RiP1re+E4ZqV/IVXwYI/C/ VEIFmTRUCDoA1DJDEybKQv6uXI93ds/eUL1sQm5+d7egFeoF0JEYGnrS6bBQ OVQUSlPFsEP6ap5l0pPVPa21w3KcZ9td/Y9PWKxwtnVltUrW4wh0TCwz30pD /2F8STvkJqk1dQdQlhHqDdcsGTKQqFRm6sH45xGhh8WsqCyS3aEmP1Hzrx75 bq/BVcNq9vk5LIb0RGU5RKlMQcTAQ0zPgD0xSwiDId3W9hv183p9Op5cSVdq euBv/IUdQOFnsQnEDkfAglnx7KBDxWsgkx0ynB2iFss1JXp48tN4pPSaxKeb 4VSuYhIslfFV87x+/gKePGa0kmlGFVXx5HLZ1Uw0+H1wFVAitnyrWzbO+CLE 0jViBnEribi4akwizlSOPPg9IW7tiViZwGGnVQJKAPqj9f1wKF8fQEWyS/zh cKj1AdSCZJ1ZMmHwoz+5K9z5kk0ZbB3QHRRuheXjebbRlag2MSRnyiBCEE0d oGhm0kC8Yurypw2SO2BGOHPmTpLTBKFNbEPyqnuRwfiue6VMJ2rrsnyvnYkL bjzTCUoWbbYTMJlpYDCZIv/icjUul7kFSap5Q3dLGRXX0lf61y/adedm9B3/ L+pVqyk2/7GhciBcNt8F+SIv7+miLrl0AmRrTL5iBAKEuOKDj0CkUEivzgPS w+uvF7QRlyDdu+qc1zvn5c+NzpiMNOu1+RMSIMGaCb4b1hzG6fAaiA8hMv1R qGv81QZ3vcJ95L7aQXEOTAbf1Nx1pRMXf94BzLAsJ5EuXHh5TAI8XbSQlJ7M WUgaRXrDuoD+mkgs8Yn5qdiei8PSPRcpnK9X13lovlrbtW7WZpsgcPPY/JyZ RWTgVA4XEeGNqfy0tuyJYpZyfw8yzG0Ktm0sdJ30zobCjUp2a4xcKbtbYzLy gVwqu1uj5wYu/mpGuV8VsPsq8qicG3pMCTXhZllm8Yj/kLvtQSv8gQu2SjR8 wB1Tw6GFrQObwxEy4ock6M7iFbug8x83wIVd4tF3fnzh7x6wWInn5PEczwMm CDLxK5bumZ5aA19LG5na8r2KI1+nMHxQmg/13K51iPNhbOj4k2tM9IVQn31U Ml0/6wT+d2AaVxiHClqaz/WcTrmAGl0R5MKmupAss7KWsjJLVQprdzA8b9RL nZRT5ZIvpGq7a4zNDvs7KtNT7KfsvISb5hu3o4tGC0KqKXLRl2Lki0f48RVA 8pUmxSSjNSdSKGtpC3dkias1H8odMrntw/OLfRJ3rsDY9LA/SqanmCQ7v1PV mbJvR5RsF9aIY/yFP/jCNywt0C5LETdphf/9+eFuroXw+J6uxT/zUm5MFaro Qb9CXGL//saX59bzvtDSu/vvDU88NFgIMPb4YCFEYeILISraVZW/gchpYdUg C0+3ZgT+94cGSoqBwclKjys1pxJX1KcuZpbuge6LTvTCxYdXgCh67cUk4z34 IpqShlY2H8lninKhljw4tC/f8DEc8eEF/cdswxJ/Jik7rhu2L7FnksS5Itwv QFB5vxNupFQIPrHNEJaf2AZK+5IX+y7x4yvcC/FNmgrdOrZdU3GNmm+xGRvw iltfZO3OzVlPaZ6XlgHVfaTFDToZXHjfFm2loxZ2eIX2jOopac7o+XL2siZW enbuLu0hStEQNMknkPy8tyO3AwL/r1Fx5O31nsTI93wnxTWFpHWV9fK5etEq r5bZglZaJxEhnne1HWrjc4NpYcdXqYuZqqqqmEmVNXhi+W6eheGgFK7X9Jzi ekH8RiqKzXWIz3+XnOOT6IPEH2XM927+fN6+HQ/6DCJhh9fIiNjTj8X5kHry scC9+UOJ2WcSXzockF4ZXFhtxCT35BtbNhx9fA3OqbXFxayTa4vxTCnzSssr XZdtNxjxjYYJYrsL7leB0A0J8e0Vusm4dWFEMN1F5psYSvyMafibnHmmSYxL S/yUKWaQENvTL9M/bPoKHpn9gdM8d4z9ymmZH1aYWTqMOhz8pY2G6lQd3Gr9 0i4C74rwpXj7MOXLDKcG08gO+3lnuAyW6sr30eRlZbpdFbaWeangKha2FmJl y0BfkSpTSN9fhylbNVuKNFxDW0hUykwZoOGa6kKifMX0azoq08gOr+SobL12 uaOGq7cLscrZWsb1ZqRpbBoFDt1p8cIvIIsSqWssLN2UbY3mnmWxaSI42NMF oQd+kinaFWeosoa6agvTy0eavnQp1BshTCw0+JRozkJ3DLjnHTPbEw9b+PRZ NtZ1ZUacFdHoWxbuwjvbgoHgQLb+SJ8cxwRiskSGVTSAyRzbMb926T0koSVy 62XOn8ot03uSya03yqt8LjJV0J/fuqo6HffUMvaiSFLhGgrvURH92tiGMfVX Bgk/JXNip+os1LF7LHH916gCqap8BwhTWJr30ohlMhWf86noUorrCdFP9MKn 3e5e4yWC72r9/+29e3PbuLIv+nf8KVheVXsldcaxnra8793nlizJsVYsW0tU Ml777FM6tETbHOs1IpUo69b+7heNFwEQAEFKTjJzV2rGkogG2Ph1o9F4NaZ4 6yf9PAzGtDA9yjTRCWP3Oju3oNrE7zg1IXwijWQ5hSz7NqTaJGaajL/u25Rw ISWycRYO1ppqluaEuXSStSPeLoIeDjuNitVbYy8llB+GhrfJMK6nDXymoRRS JLfd9SI0TmjlMG6FyR+2R52b/rg38W/sC4+Ych4loYcp92gC8TrYTKGkSTwP Hzz4s58G8gJPoSw9rvJLrbi61dSG6vi+fjH522BUv6g0bJgCncfo9kA02aH3 /bbY4HLoZwmbgu+cY8VAmWopRQWDD6PQAg09gPBKq1BcoLKJBF/0x07eGAVC jqnsM1uDC8B/ywqAFJF+PYAIyHkgswD40SDLzJkFmFzcR72rxoV9zDy+b1x4 jG4PAbB7E3E59PMACNKSLBhSihwlzq9kLpjpLZ9GMBnJvjiyi0Dp5wFwZLeG mnEU7g414phTPyuE9CifBT1Gsg965FAj+yySx2N59wObnqoUGcjiTRKtUOeA YYO6X2+dTYZ2B3svXzqCF6yn3nrP8SCUc7o2jATpS+yeWCkX9UPvFn3pTKpn 901rcyab5aZwXCOJ4KjPHpjReHgTUib+ux94tMBTXJQeQumdtrUE14paPdrr Sc6klH/tmaalXD3Y5wnMR+09HQVnTemdtFmHFb/D7qhaK5IP0lU+Slf7w/QI OD0eAqhHG1KPDlAZa2M4tNMb41toDNExIYUfQQwTfEnMhJ2I+W/hlI16VAfR wj0z6QkdyAgFsOlX8aChWpljeO1bRB1ucLD+529xNEVVSjbBEsEQogLeee1h 33wUCWrFtusqVetsvsUJKuymfevxVwjbKdJLP9CAGDbDCmznVjl7o4lSQk7F ezqGBIYRHeEPdocZFdTLbBuzHAsWocpeYZKHFj5kR3YDH/NNyiUAk+4xyZaz P2wCo/lY3PbGsOYrQ6F7CZRKiI/pZ9HKowLRR1p38lsopXjNCSM5Cxz4RsuP 5+eVlt1C1j1GtpeVrE/C2QsU42pVKblHP/e2reLrdeaVpudY2Fw0ciDv/N0F 8envhwCclOKKN30n+dgbbeHdOrBJci7WdhzyOn/xdngj1JSq/PodIE2vjScf +3sB6a3yWj+AXyZvxQ4qtjNVLA+668akVqlNBtZjQZm7nX8qEJ9JFRaGo0Jp un02A84Gr8ijcAkxCGJs87UqyXoEXmXTJdi4S/6FbvGmfRML4s28OKdtWp9b zXv4Yx3r3/Y6HqbZw558aaEx1BcoBP7sJx0oC/4YRuz8VXYVNwogpmEZObqs /qaK+u2q9/a8clWvVM/feRCk5pI9qNffwVHuaRjHq9wtA3jm6tOwe4GEcm/Y cAi84GBBW0y3S8+HFWgteA5pu56RAtiXA8xcsaIsU1eMxCoccy2LTgT2u+Pz C79eb1hP3OF3IVLv/GLUqdcQOUyLhptwOU1jQe81CzNLzi9iVG7gpV/LTn7z Ejz5556H+7AE08LMMkQ0E6CwHzBFaOL6ugNpE+Z1C8cHtE9aXLdOcfC8/SYu nuFVZSYvhLIYprgs8lcfLEt8J9nNbAI0v3LWxtC5atbOa5NP7ZF1/yilY/ty MHk3Dz0CH3vFNtgkZVVw+tis7Xbk89ywTk4T8XtcTDyrkliXHEt8c3c3tKF0 s1rpd0U7qtgc8sMfrXbZEIJMelggxQoHMP2AalxoO/dV/6bXz1yDFOFABsce 3GESrbxoNcneZhKtTkmyEP4M/zaOR49xRBR9NBVyRuVxE8TJZjtNtpsQ99Wz VbR88oZ3fv8eT4kiHpcJLuaR8Kit15U/GbXV0Tk8gYqR7v/Y2wSLxxj+TtDH YzxB3+QKPsan6FlaO5yhVOUCD16+oeH1MeuECyP3dxnu7xTuV5j7Fed+peF+ JXK/2oP7u0Lc/+3qylcviifPxBr89vgY1zI846cp1/hnaYUiL81h+KZ/++l+ 0rkbDNvpPanaOGw30XKbzsxH8yj5duzN4eGEPCQ/6HdzBDZCcIqJOZHaceBU S83DzipOr0YKwJtFgwMNi95N8A2ZHuSlfolm0Jq+BJtotY2PHrfLKd6O+YsX /r6NvgRwbDoG/zZOtg+xF+7WiG2yU5aU+wIzSXNU/Cz8BZrnURDHUZzATk/U eD1gBV7AnG3YVYubKQ0QCvm8R9BUXNz7o9tVEiJBIfHNVmjctFwllM1QW5Fk 5QVrZALoLlKTSYM5uu6o/7k3UgOMwi5UGKexKTE+cY1+sHlET5hTZIXe9sZS qaodRFlSlXWfw2buUrSchetwiVuXypupkp/8S6SSNm1lM/RAySu6jR8ExcyG wFI0FdUN5TidYtyMqopI7DXVsWKs1sS/aX8WLrw3V2oefAlPwCpJ9YtLVBAX ZasfjRlrr1mWn5xKglKpJgcrqlIcV4rpHLW4dDceMAYTwRZbQysIk8n5lYSy 7JIUI6oCk2tU+zViLpiDxQ3S9hukzeyEcM3MjXFuHcMixuzhmMg60MV+zYky yU5zsiNtqtazED0FdAO7ZVg70nA8RuTSkDxm8NqyS2ZlHWTlmXSIw6VMJugB g9rzyYAy9V+G04k08jeiIA7+i+MAswJ8QkBEg5BbkRDOcJpRwIcwyyDAj1wa a86OXRavdXs86N3I5zEd69yt1vRmEuo6q9YMy0tq5RAl672USqEUg90zVWb4 jLrqdewNu33EITD4uJ3PvXgdIj9CNhgeVNW80gYVxJtn/LvRnbWm8Wqzcq8t 3ufir0arrrHaeLcLLbVg/aFgb9g5rVYaHjANVfZxW740XjGBvAvFT7kNk6+r zQvypbBrIvsb6AHrkMU1QbceeMlLZtbxF+R+TOdb7BmOO8PT/tDE5KT9aXw3 7vkGbr32NkH+XJxgtgP6A7tW/EemIixFqgqnz2lQiGoRgINKa+XRt1t9J6jI 3bB3e+l3J/643fmo1OYOeWIozfMTlO/YW6GfD/FsohVDMl1H65R1gdbOOcEZ udkwWscOMX2rkWM4bWbiGA5fCRzDsS0jx5CgcC3QF+WavllUoY/tQc/rD7+c masyHnZu+ukxZ/inC3o9HnqdeYR8YlSlZD3FXy2ODtSO0xltNaewVxVeTt8o Rnd9QpDCoGa9TSA+Bh5Yauvp3w6GN331rhN4isZ1D5sAQs7HS5jOeMjKCBLQ 4FC4vISS2nn+1EEqILzByFibnjHPsBY8YbThbfirgTWcJjMXPOVCytkjtDrm rsfjYVdhDJ7BhBasfXjPSbKeZbnCj1OG8E87M0Kpej31J91bdfSInhx7s2Wc ZWAZn86Wwl0T6If9/VC4rF/G5uLfjof2loIp0ksflsk6p5kAibGFQKJl2kGa bKHOPkw/YCZolb4+R9Nnb4qGAOjdsBnLe9isgtk0QD1AEi1C7yhawsCX3JJG zt4mZNWUThTMV9MXQMTzMpgMh0NFLvCEV3+9Xmflgx4K+8zW5vph4QjlGaXS H/q9zgQ1cfzFLh/UHpFLFE5TJvkTu5wwzSkjtswUEYLCUgPEOSvUaiAPiMz4 bILpCs+VTLHpO5qulo/R03YDRh5XOnePVBrGTZqDEeOY6TaM9YY4+hrbYMei sBXcNcVirqXTMplyymwZE5lnjB5+p91Hv17rNLNB0lmsvTRdAZBswXyJ67Vp k0ZIz0WKUwvB0tmj/AkBHUqMTV7MqU+jWGnDoEhVN4SH170mE+iR3kXHA1IW VJls0HhNSSWURuWzsK4Y8Rp0J8NOdviAnnvkeUY91lPwr4sAs5id4kwCKovZ RC2nDCycS5iz36zmc0NnLNX3pn3b6Q3xMrFa5Rs8Dw1pmWrPIWk9jYrWnOWT K68prWT9U5ZTDLy3Xxa/IqYsV2jhuej2537nbjDpDi6yzaUboMEpctBpYgaP 2eKiYAOZkRJPSU7B1SHPJ5kSSyCicO2qFngfCPyp1yaD9s1Y3aGMN38MgnkS 0AiZGTgWkFgEDbyVAudKkcB7NeAPYkMtsAQYKtc5GFhvrFOvbjPAQK+ZKwSE 6eI6doBLKLMEBjq+7UB87rcno+v+bU+BAD33Rs9w9Xum2ht4XKTSX6LgFGdK q4seTdRySgg95dJV+f3rybV/fl67yNrE9Hmmys8xJBU0iPHzKc8nDP+eJ7rS SlQ+5beozyRu5pX8JfIwU326hbRY3emuW7HimXJK1Jpuwy1Z5WZVU2X0UFvl ZrVElZvVTJWlckpWGfHo0JrhDML4ujfqqLW8rpEqTkXHF/0sWEGSSapgppwy FUzZK9COB/3O6A494KNJ3Vhf3Sm8WirGnA8y8X4kqAbcCjfjQ01l0UM7T87E L2+R1swVcAIDXtIotMA1SqxW/qBzUe1Uq1Wdz5zqOJmKZ1dtSpuo8wdb6e1K oobJtyp9jZJnbzi6O61WKv8j07iO3rx5Q6HDOOguVCo6fKXXJ6XD10w5JfTS VinXsenVTe++TcYcZi3Fl42cXs3DXQAsOCgpYPbI6IvqKiDGMhtUlSW/qqJe nBXQU46Oo572b2/vPguhgLXI3w3aQyTm5eoLDuDrCHzEM5RBnuc2QM/Tfx7s U4ScwVcCMRvwVwIS4yZGWp3ZemjYZv8UKekCM0uGheVzW9lOBSgFZtbLUPPC 0hZIMTogFRk4u0Ck+GWK3SbPMu4PCWVXwAjL4fG4GVbLKQGBFC/Pewsh3Xa7 d+IFFjlWmMfKu1TnVuGJOqUar8Np9BhNYzTMfSg0jcqj1z0I06hyGQWrz3kh o7sVet9qHnjANkNlDzxIrDSdPtQOrRA1RSNq7phcwSqIbt5b0osaAaJ2VimD xFVPbRbwJIPBY1gKAZRNWD0OD9QcgEMq/HGpOmdMwZXOEDyWk/qjaAQei5iA XHmjOvNlhEI15lH95MUw/DA78YtD6ZWpOg3UJ6yfKSXtIXQSsq941T/3h72R OgX8bwPvc7RGb8hU/gs8LlN3nFGc5ZHL2cv8pfzuo/YssnmRkAwkCjhfYMMx ykuAQyKSpyMUpZwUnDLRGAQe7UCA03Az6bdqzaY6/UOcDZKSN2YDB2c+iQgx +SiCCc5+SvKlkIiFHsJvItzd9i0XVMuQNBomSFCKOySImHyUg6TR0EEilbYP JIg7V0hq1WqjroWEpDhCQojJRwlIcL4MJGpppSH5r//V7XX+63/TKuVCI8TT Uw0JP6bLkvPwEYLXNWnAvGLLCWl4PMGyZAsqgU2mLn+9nG9D7zaah3+FapCx pDBg+6//Fe68bvQUgVXqITcPT87+1/82jtciRYf4XUoO+I8G57B8dtfp3dyp y7l303C+yvgQsR78zQIXtCJ5yEdhCZBsytKWVPKe3f+gc+JH4WYTeLRyrsNw fj1ytgWzNCxCNjfgZul09yEXnLBjtx+nrTpTTukGbalZbusWL+iGYqrqUrHw CpSmvsKh5+TgwaXc5LMUeNWKAl51z+Xk3Jo5gde/7w4btabBwyCJ3tthp//O O/WKeBwYt91sDYVTv8MJK5Il62tIRe0VHorVSjCGhD9Ymyuicfd+7/YDWYul 79dMmSGi5RMsefLJfs1+BRG0XRySDHy+S53uss52seyMWJ3qYukm5XOCkFfK 0bB9GH3QaxhKKKFXT5unIjqFyDP6lBaxly4B/+X0iEZO1cPiJ8FyBi0ZDSJx 8fjdw83Kq1ZgalGd+M16ciSiKUNpPSULf2tUQq4XRyKlZlugVOR+titW66cO AMip5yjG9QpjpLNJFMzn36QZ8iADC95qlD+mEqDPDCEy0BPWPkRPwUOUFAIe lc2Anzyh/IWgl0YVUqGvBT1il9XSWXsN+9UyIMq7wXKwYxvQUvT4E1cEszvb 1MIPjGJaQYSd4x43KV59ZksXCccu9et+u31xXs9ueNO6ymKY+qIeMgkmn+4K VAopu9/LUqVcjYMzC8NW/aJSUzfA3foeT8l2rWucUmj7G5x3oNmEg1vxRFNW mZGawK7r9gla+Vb1TF93SNBWHSWUqDnKlam4XFL5egOrrtXu3Ew6fuuiUslE ZRU3qjOSDADTGBIKiX46P6W5hGis80m2pH131zOmC+0CFc5Dv0lP00vnijMg sJTCNoAfieZA8EhrKgzZA6RWnvKnC3pX/e4YIoRZV6Pdwp5l3u6Z/3ELisqJ ZgktkXzbdylaF58M/qkOuu6FZQ1uHjhE5/7i3a5g78Qm9L4+Y7hI1JL4ebWd z7yH0Dtm1Tz+vzwI9r36xUNeQpoOU0eIm3CGyoqWSFZzLwk2T2FCwybAYalt HKJM782NXQ1UPewMOv2297YDUVKmiXeFOo/nd9mJIm8qBZiWz0elLVuMSW2O SJ2VZw7YZLXDwCv4onHOUgcJDdf+2K9Xzlqa0w4v0T+3L5GH46edtchBa83m 5pcIkpUtQAYsSFi3NItwuBAzoy/sEDiptaGHq6GUN5nWuMDb5R2GmATC69ag rW4ZIM8MmD23FsHOGS5MrSKVKeIQIBGmnevd6975H2tn5+pIBj8/wQkGAMLZ Kn4BAmcQeA4VCG1RhwBDqIXLQQEaLM/eb1AivHbNX9pJj6gwfFiovrRKpQy/ Jiog/FMNf/Ztxa0+3iFKqpdzGq3XufP/cZsN0CND5X9boiIT5LAQe67Roekq JkTP/Lu+qzTvxYU8pyyz+egufc1+WsVhipWqGaIZofHqG8ndoseZ8GlRcsSX n3mPVhM6SJXiZ8njUExD3CYr85KjYg4CZ2THFFrr1/bNTefmDsdZsEj+V9SJ 44PKbG/h4yZYhGCsj72vPA3V5qtCGGeeCA9UaHhKNlYJT7Ic/DUhJJzcVplJ 6/He6ycwxSQUEszjlbcMId4xHBZOVl4czhG3EO8JdetbHqjYixbreQhuD8bc ZJT6nZ6fAj6BuOSSLgkgp8oJVKNxx1usZtt5eJyFFcKFZ6DFD7Omm6eTHe91 C85Qwl56qUddh1cmUjj6dx0lwfQ5wtXvDD/9NRZAcMR3MOzAeCMf4sV6CoR2 lClRBmj63Ia1cDOuMILSYU4ofiDsfJsirVZJ6LvoW9ufdP1qvXLuIIEueohM DlDrsJ/FuJwM9vS5DfsZLvmUUNqgJxSHgt4MuJgbLLZQdcHIFYX5vFErADOi NsAM5ehghuduMCPKHJgRxY+DGSpSHuZaq1VEnYHcADQuSYc0TnCDGkhzsAaS Hwc2rktRtJX7Q/OwZpQ0HkIWbHY1aAZslmADW7oK1AK1cBfoD7Lc41X8HD0E Mh6OkMv3NuJ/ig+orOJAh0CXbcgsWYoEW1lhqoy+bhIx+EvWSVfHAooMxMsa 8T9FDOyNguJrBpzqUECe+cMV0i7o8MVH88KjVBLVfWYsUMHO7qDmcLNZ71Na o+bzo8pZ3U+TcpzEzCFonfZzop/AYVRwMU8QCMjnj3y1IsiMGdW2gAk89XfO GFiA3zoAVop1Hwe/lgRUOBz1HgfC6Q/Oq1XrzhmtBFA+D2XMAA/bQoJoAWWS D2SDjgQjlAM8DpCD85n2zdBSrSbnhwgBHy1bzrZxQq6LwF47wylHIuPOdffu AxFI71K1Qcn0ebZ6ktAfDU6BMGOACOkkCB9SyyM805kckkyQDx90xiYt4HBW Rq6TE7ppjQugSeL15sNJIuOiQZcOTYjnm4GTBPm14wnxfC2AsmC+PwpRXuli gP7aHXcKgArkBlS/zpCDokMWJzigC3Q5CAPJz4FyTEMWPkOgXvDWOWzjaIFK 6+SuQWflcTPs1O5zInMbxcMy69V+vp7WduLEi/I8z6YLoqJZTDZdLdpmy7+T zARo3IWhxniw2m9GbLLhLLSDCj97ntM6lNAOGrApxY+16RIK7kjzAP45QPuo QS2fQKAkWASDWYM3jdyvws0D+lvRprH7zWALgfu/C9ZiKWRnYQYKdtg+nDEw 3PBnQcBY2KxcITBCiMSuAZ7G8Mogz55boGdxweinBX9K8eOUXQTBEWftLHoW XjphzO+H1EGsTKHLjy0A50+gS0X9OHhVELzHYBHN9YtvGaTxVbOZJaEs0tBn i8sjBoWWloWEZxaczYtCaf4fB65aZzdU2W4Q9Pe6GLbkjsJrE77kHsQMxPSx BWXpGkUz1oTgx8MtwmCbTWFaTCdTHIDWzp8oSoxnTDJqTOdRzIqMZ04y2JLt CIzqtaBl1YNLywrPj+AleQKlAcT+HZ965W/GC+/0e3ZRnSRYJpIEPA6AAmbO rCn+sC8OiqFMebAA29ySBfIVMAUiZ2v10gGpeB2RaR4YAeMf6IvzxaZYXVCu dICcRYYVajjaLzLJ7rJBWWRm82HoXeJljhwYMFUKRayAED6gZPgBXyaUpiAK kNWAAiTlo4B5TBEwX0s67OsHisKVZ0CTRvlHZSINhw/gJlrpp1CRrqNknZqj x+WmR8fiVeSIpazeL702eo6XAabQ5+MrAUn9hXKCBGwpcnHhVr8lLuphG+Mt J3iTCS9PXFf4uongghehGJSH74bD9w3CpaHhY7SEtwoNhV8rapRBv5YTx58J Agi5IKLaFASBP2pToyDwPyQNRGM0Oiit5Iy1JBRgr6xQMmKBwphYaPgSVNrz 6itL4eCTvc5SWQ8hFlgSkkseWC7WFtPd0Vhg4QzWlcJNCAtLoAJSWaIWPETJ yUOwfEJcUi7MIyQf5Ep2PaouO3uIeRLtKAiS7kn06Cd+5nA4AJGdLs5aL2xP o7CtLS00eyRAlWban8SSDFNWeZdK7ewR3x1MFZ5mw3c58E2PO7hScz57REy7 eeQfe6Pb3o2MG755lFwFesyuBJV6WPJMuE4A/051W6m6eevedAXXANN7AwN8 B+jqkTsS9N2gm0ebcA6KCW6bRC6iQO899a7bNym6oJgEIXKjqnwHMSp76aEv S/WlWvN0tMJHA3jZ8Xb67AWkKlIXSF4Lj7f98ejuVr7n9GgOF7ZqxTHoDdo3 N3c5hqr7bYkkOvUW4QKMTzCfr8iVqcfwCP/06IfZWMHtONBMT1mWvFtAGV3h a0hkNnF0Q+ke6A3cTrsJsW0/mmnrttrE4iVUOMSjf5euWRPOjtKIHLyb4foW rxaolwhgDKCMBPS25eau3e3lRINkgpivghm+hgB/ejP4dIGe0BsRJ8mF8RZN KVZNymW4C6fbJHiYw+2dsyN2IQx9iw6Du8u/ucBw9/AbbBHFVwEzKFYPv1E0 HHDgxEYoOMXeaKwyzOLGigwMUTQtEHB79Kjn+5P/JLd+WcD4T7jzC9o7ckXi 01nIvh57/0QpE/aTk0zgMU5zQYoXDBmMaEllF0bsn2IN2A1OaUXgie36JBy5 TD3JBLNZ3iBYIrqFcCvWsYfnueTuhVcWp2XuQsRPdV2sQf7Q7pnvJCoDefOC 86QX/CjHFgMBr8x042RxEZlZdJviBlasFfAjXPwt28yj4Z3fv/eqlUqd+WQ4 QzB/WiFH7nlhuJOrM/wEdsCOxHS9xXYvRYM+cEGEkJpRIemuyCzCAA7zkZ4Z MY8burf6EhITMIdjLwiEBQKoGiPFRvp9BEperXjk53uvPZ/jXKgrx10UOAkI LThQDnecTqHzeAoRwKFXOUFlvT9ie6ZWyznqt7zNavv0zDghuHq6685Gd1f9 m97kA3zJiT2c3o0Osic3r/N2RB5MnuCLh/9OGJGDACjpKc5oFIP0EldhoM4X dfULfPe8eL07jECmsOg6TWjBeMwSJMEvR1s8fgkQfMnzaraar56+cR8KVQgf oAdJktpuE+xXpSB7mX8q7L3/vB/c2OEO/4lIjj2gC/+5W8xdYMSERvhwqv1I E37pL56PPRT87mGwicmR4dxKfSLOpuTM6xzQY28bwZqUbHYJySlJEs484986 e4vdZaqA0Czoqzriq7wb8HV/obYKhIrvC76B2zA8/+gt22H5DnOIuIbDuVi8 n5EbDe+pv6/U2KiAvoFlQsXOt/ERMuHfaIk9721vh8ajaETwznsMAzIhwPJF xCCgXmwRIVPwt2AdLMMYDQwWD+EMBhGj8Z3PnUr9PIJ/17+9GrXtqgO+aQd3 m8Tgyg7vMWJkhR/Z5hIoiXk2gRJYmqEsIMXpBUwJn8QRjOhgiXKseMiGnkfx 5NndgnD8GQ+OXBxt8kL5hBl+pOjsehVHO63K4hTRQUA/cx2EcAmecCy/JbUs CJrTfq/Xw53l+yqB5YjrBh3Ew7DjK9EqVPeNaN/AvMGJ78D7GiI4Xparr0ue HyZrfiE3YyYBaT3J8yYM8LRMtPyyegnTQll3jhQ9Wr59px2s9C+VjUGd9FAe +jKFqzsf8B/s80sozoPl0xYVdorvopxmHC54aLZaAGuYjom3yxk7PS8jbQHa 66TAnFDkO95F6+Li36sXF5X3rlgfpUinQ0MOhOgWAfLRkgz4FQkcWSTgEQm8 l1cEIpx5tT6ZYxu0nqMB6PNqDsOZhA9AcWFO404kykm/2lLsuNhUv5HQk8uA zoP8kw7+QVCTqNpa2uULFMK8Ffpll66+4WcZSAHmU4l87oWwb5WyEQy/N/7b YGiDY7lansDwbe79tl2sY4pEHCa/LdZ2LAiNcEEQ/l0cD5kDZZLqsGj0P9y2 b3wbHHH0hN7MYSC/cnAgRAIQ5IHFW6FQQLNeLWFMRyO5xPT9Mgi/gOvM9T+E 5neUqbU3fQ7WSLG88/fnqYUgxb19R8bpQRSH6DvXtfdH7ZiY2IDaCOI6ItE8 bYIFOcIKzT2Jw/kjwwaH72Dz0KjDiLFfCc01AKPN7yMJd9Nwzd3VB8bMnEyg E28Cv+cIon6g1EU0Dzbe10A/3UokOG6Pxp80Ch0uv0TI1yIDZLBK2/UpQmMR LcUGTlNy5EmIBHmSB1Z5ir6lPJ7Cg2Q2Z4ulTF0yKY/I/1tq8vDIGykDkkEc viPBUIKllE005F/xkgGdEcQDWuwvEv0+pb2wmFnqVcTxbkCNNeIqQW1ijbyl RMoJjtEcGiVWtGDzxMYoSFmCpVgZKdtbpGZoOIj8pS+4W6PT708hcju/CIr5 7r2Ujc1HEgbB1yI3YpMpZVBQDCjiPP5FyvgVEEPNYo0AhOg0rBOiY1Lkx6Hs uyhBT+Sj3EiVQU9tetjt31ntCDNQ0XK9TU5X2wR9pC2P6+MMr4pZtRGRiLqI fha3sTnsoPYfr6YRbKs74rbjOcQzfHgY/H+T9z7/T7AUh7XJ4y6ZFzRCyZoB HarqQCQzgHYUYdJPhNE2vWfE0ciLE4LwyleBcNS//WDXxg0ZP6rI4cc5yAGN iBz8LqOBMgsZCDwZgqNCEIz7g54NgBkSzGkSLcIMBvihFQGgSOsPv4rXHt5P FrbgdahPiNbbuez5Kf7OkRaVIooxUJZ0A6SV4sBmgfhInqHp0M+84c1CGtcs bChIK0yP81UAWxNIR4LfFqI/Ebh87LXU1QcqmJM4Qp4E6r0EdcGbRsWc6P8p xVA/GuiNRnfqZHoHj8+8cLNBxU1XM2EWkDzDfxWHDz8TLvOAn84qoCza4tfP oziBPou8kg5lk22MOYpZaJHnEHXnMFm6wWdIYdMBuGjpeBGPtpCTgzy/JYwV eaViocwj8gC7WPT1yMP6BTmDNFYb9NfB9Jkz/Bx8ASkgn8+DYTfi/wsJ0EZk gN9ySvndhIAd2AO8zULiAPkgC/QN+tEjerUcmZuIk2/IHsK6GnpN+B7l7fVv P7dvvDCZKsNDabfLEXZomVIhe4JfJ7gNZFYUsbtJJFbA2wx3EIDlCDG8CWM2 isSONfd/l+ouR7aevtksEdKo/1vorpxHHwM8c4j9Mc0qx7enQbrs432JAjx2 Pvam354WeCIRq5Ayh0USNRusWJL5BhmulhKUfC4Lz+sTnv7rf2E4T4I5GoWg gh62qPWtlhHiQ7jLgUwo4/E+XbDHExXzb/CYBGJllVuEoItRvNA2yFGve3l3 NzZDNQpnl6tV8gt+CfrhXQdw/cEqQcIO1jmIbcIZkGoho2lFMZtuQmS3Y8YO 8KZgxpnz/u318cOX4P7av+3e/ZoJ+gsXu6LR22z1FS6z+2pYFXx63J0uvoqX V6TZ7JPr4gu0zF23b8iW9PPzZmXSHfXag07bH8t9kN/70Pa6CNXFNIiRzX0O 5nAF74w98cQfE5Qq1wM9gE3nnEKII0cKip/x2zlBqT27/rW4DSbtw7H5oYJa huGMjGM3W2lEhsWMipVrykJlnhzsHyoMTsWwvkAnDUiX0IcHtAtA6QT9YLPw YEsoYI33x6LeRA883vqKUmXQ0VMF5MzEcYg5haxREpLJbi2+uoVmmAw9Sndj iYXQbtISdotfKnZE48/xsK7C+43KDLtth/1uFsKZeh259xbRveN4TtbRzKOf ZihRYgZJyOGksnjaGL2lmJ5y5QxAIkdQOTQQn1uOsTEcMue7AQfIuyU9JYUB kZ1UBSDg9Db9tOhU+JAFwvXYNgABb9kLCMy2KxS983EWih6BYrVBiQIWNQGL 8Dzx6KcZC5SYxQI9dMYC3rIXFpqaBC8Bzk5qZAWnyFXAmmaUZheAE672lX9S GDkYij/AMHW4wTf7qjKbjQF/AYACYuBFZOQhFujaVjPRA4STB7DPM1gmkv0n ZyLYF0tDRamnqABNay0aEwA3W3hrSV3FNeKl4ZqR6yFp4OhcgCZ/G6u+CUOp eV7rnjcq3lvk8K/jKH6nMXS/IafvXTkAIasewQkkOcH4BvDDNSiJHy8Xt2tc 8UwlGRIn3Y/6SCAinn57cG5SOkiTsYqDxXmKF/5lBoxliPWo4SR31Pjr90Uu oFrIq0i3isOoEcImOEHm9z7aUPOzsMXhi4wcPHAAL3yxwBe+FAcQ3ntwDP0T BEimY3CC8t4O5X0Wyp0K5c4Jyp0Nyl0ZKHevAeV9cSghW+++1jyTgbybR4tw 5/HUFElgPtxBBvZrQn6aUeRZshjyJHcEU44PgJ9QzxNSaHFNnPQuGxWdHmb7 EKAs2YeED42KoQ+BJHf8gLq0v8i64TeGurmB1XZHq63AhSsbePJPB+gCC3ZB MfCC10Kv7QZfzRm9mga8moRdLR+6mhk5tyCtDLjaK+GWMz6hsKmRQs2wNZtZ 2FBu6VcubOJN4JmyisCG3lwettRdttU2H77h9T86dyNltQ09HPc6mkLXz98w NWQdNJutSrYVIxJ8mE19kIMrpTJAS1Pd0WWMHqAjKQIGHbfAlFy3ViFGM7vT nQnAKXDW8DmaR+uYR4PSjvlYPCzhu+N4mlLjkSCjNQ2oNaGxioymWQ3KyYQX pQLiPlSkOSaDzmWtiqMGWXD/GEaaZsWyEugX0wf4hadd3aGmuVzhZm8pCzvN fghbQ07XEgQy0DxuVgsPUHOSwRAVcpZzmI54cJkXoSJOSHYihTV8LygDnMdZ Api6LP448x7oi3vLoOq4OD36BDEn/NHnYKwdGWjxRrQEbATJIqFga2w5wxeT ZY05AxQn21casBnHdPth5x29oRUojdmg3TmvqlHGrjYh4jeYoxZBk7WWeRFM caLw3dwTUgL9tBxNLDwzxzgoiSKvJy+U1dfd8NIc8NlTZjNZYdnuFRO/yyAJ n2HVyzzJRxWTGXElpbh7GKQuBzCqeQi4Igufvc+XWnjhebZ8Llo9yvAZfnlQ oaaPnfCmtFbQKU0h5FmdXht++h67DLQhN0nAQ5aUBj6koTb5ahCNpCl8t6wn yfE0hTWlEmE0AUnGXskF8nRtw1Rb10WO0eAiswx3wQyqMMDYLC5MS9mLC8Nq BkopDAx+/d6o4FKIlYy/xUm4iPUXsYkwTNrtHgwd1Gmnp2gO81Y8dbuMFGAm QRDOLOhAMmTVQzRhycWxYizti9fSy9TSTXUmvftO+6Z/+WmkgDZPwk3g9XbI xkUP2w0ViNwCceVDTmLGj9MYAOTpxZshf/sBIDTXOR9Hf9D9WGtUc0ZGfrCI t8snXPIpz5KZXVUgjhezF0yY76RjuBl9rpMulV7WSefV2FMCDBteHmzd9OtT +L7z3gJk76hJyACWs8gEAurf3t59pgvyUmezXH3Ba+rwhncK8hFPNSs3pzEo N08vrNwpbyWhZVv16K5TvE+KbyGimzr5S94fvTl6c3s37v27d7XFZ2/T3Uck 6hGcUEvSaEnfwoQdYUX8Hb3hG3xx8AIoexEto8V24QWL1XaZyHuTwKmg/B29 oQymvEDYY1TjTRLB1ubNL2R/72a7TsiGWmDkG75yl3AJccFIQHZ66TJCixz9 WcCM2ixEnM3x5l94zzKE7u5xm9CoCpaFoGygahqPmaR0nqN1HCbiSlA24DRT F5Km72tLRJgm6z6YjQN5IXKQ6fuKrge2IjVp+377sjc2IYZadRtpwANcgcr9 GxW8SUBJbCBSGhOQrIziXTKtwKsgWtX5ypRTu6NM4e30RlcZbDeozX2bep1w 82gAdApJNjSBwAglJBbGEXN6CBBp7TJAptV1BW7YbduwW88CLXDoeS52iMYK H0ovhSCw/NogAm8OCPaH7b8r0QHg1OTvXgQJw9X0BbXYYScDYQQ0NvyAwAge JBbvN4Gj12rAa1ZTek09AcCp9V7d9O7b5G568jKdh0jecjUPdwFct6xv0I8s mXmEJn+QYszoKbXJH1RKFzxCBX0z9ryO+/vjWijsON92fS24gusNNAKeSxyc iXyB6QMdoBxMRKNBUCyrKGS0Fwe+y07ICGWJdRQ7WNtd7/7A70xu2rcX1c59 GkGFHKaANI+nZS4tnwfLi+p0txMvKodnFbjJXry73BwKFV9cvoinp6ws4Xwl ejzRvCLnfIbuonK5Hm/hG+LxHTuGzq/syWm/t+1b9aiz6Nksg+Wqt3yKlqHN uQEqqz0EAqM9hMTC9lBgbM9WCZSXm+jpOYH4SRuPlBriA63CWzJGM+dKQdBD wFkCmKjgpd8TS8Ynilu1ZvMio45Hb9688UhsbqwtgBoGG/4U0UeQhSwEpYQy GkgubVFrgvVHPDUj/4uUmvLQpE6++OXo0/iTGuODK2ylgiS5hbOLFmV9IBQ2 dSUkRoUlyYVVlvL+/bxwwqdTN07QGwwsyA62czjfPIMjjmZ04aNSWRjWAym+ jMiIMCMojPHg0w2cFu/2D+Nj6nBWcBAht68LdC/P7++VgF6daLNBynizeoqm MJdxbpwNEhYJZg/nbHcH/W5ZJCAEmkUCkuB8+ISxX7JLlyrauTkZ+udVuJFz OfN6Q1ydUtNgnUG7Vq+oPvwT5CZJp7VaTVXV6SKATOlXM3wkPYseee4MHn1j 6cM7tEpHtLowrXc+7g767yDICTxElcQPq5XxO+dlFvmiVcW3TC8Pzd4dKswo 0otV+dc8f1O6SlXncRKCcj6njedc8IWyLGeozOXD9gGhjM8RjtLok3km78Pi 4doqjXu/077pTbK774gZuvfx5oLOCsIkMqx2eLl2gjfYadWXEJwCgaY7E7IX NrSUH/zqEmrNywJcIY6RWEn3GToKWv9uWK9qpzRRSguS3vb/3qrUKpVT+EQP 3vEKqJOd0WoNGdKvZuNA0SVkRnhJssPuFlqLgv3Wm1Q9CWL0SDqZexZQpcVz JCgOFAAnmAl2Wpxp0tvOtymcnX+n9REYIr8TYlUPSVY71iSrGWyS7oI25bg0 3EsKL61xxhn4hcQR+ieOubWUZcHVXxJGEVncDn9VVn3wk5toud3RIUwG9+X6 q2HRh6ILBEZoIdEBV8xGeR3O1OLAMEpjMx2Wnh5MdWjGbl1iozIF4vzBmAQ1 /Co9GCOhW7IcQzASNNhqNLzbfsdFpz73Rl1b54PQrVW8/umdN0xvdlS0BPEz szdhTGHUMpxaojeCCuzr8pPKkloW74PAntSqFvgYRZ5lrFXzTWBNs3NNLqP4 DDNlb/9JTmOtncdKDNP7YaOm3UnFCicEt2HydbV5sahltFsDoRVXTGKGFSeX 9ZMoowdRULnIJa17ugheWHXv/d7th/5tL93noZnE7+2+xejVhq5lF4fE6Biv 4FbQZhkYuWn6Xim/xD3cRAr7zRWK2i0BUUSXu7nK3NVrs9Vi7GYOmj3LUe1Z Kd1mDB/aYtBis5pdxoZ8GKnBE8V3savtRmGMuF7icd2HIAm/Bt8yUD9tnqww o3QjxCitMLzAeekdralrhKtrqWcpVIej4aBTzeyCH6wQMKt5gHSXpaNP7J8o IsaJGYjXm/ViirPZgOZURrg5RWHQU8b3h16DRims79s1/YYdkpJFcRfUTPt1 GISYxIwfTla9fdXdx/4+5a6ox/9G7tNoKYW7LriRXNVBCKQ2vOndI9jx4oLX 4UtiXvN9Fi64qNuOFqYwgoVTi89UY873nqRGxUClXiUGmX9tDUHmK1c7o99q ALL4GYK+wQRK/GyJPhY/a4KPxep91+aLI/F687X31t+uw831O/dwZGIhamQy od8xRSYTs+uClLEjQDg2bDZKmZidAW0Pv1cz3Q4MSSKXPPpe/FzThlSksKNk nCi7X2le7m/JNwcb4qMTOdSYILzaO/EUVL4URBFAOSyzNeQKBqZuBqZuAqZu B6ZuA6ZeBpg6B6a+FzD1AsA0zMA0TMA07MA0bMA0ygDT4MA09gKm4QxMr/vx /LzSkg0Yu+UewlyiNMHhRlULZy8e+TDaMJQGGTOBK+nzHxevMvDSqtU9Vne7 A0LDfVZak87fKVSsWF0c3N9Hvd5HPXLT3z381+EIOgJx+jvGkAtYMy6ksUAR a4S45OXRh8RXU3+HQKqArOq+CCU1KxkkoXGyL0Y9BFRUfyWNn0pSf6Qyaqpo 3qtE0apdTK59+Bh2+vpWmybLoEG1Ue7nGD7W08jTPDNCySm0aIpF/AytW4Eg TwPvLyZ+Dz4MZhCl7S40aO4uJnGI09QHRhxJshZEnvlnQNBfzbf4TXR6J0Ug tzlXMZjNqhlMlJYFE+WD6qM09YEVzGZV375Z5p8TTIZAjs8yqVVqk0HX6LoQ XwERniBCD0ev7oZf8IWmlwrApKzFLMeloVRWz4bSlHJwMKOFPRrA3VZZAcsD D/2uW6f1SsU6/Ltu1dXpH26HcJI6GHzGT/FfsktE0WycohkI4uf5cajTl2PW /3AhqTFo5O+1BdbrbGAJDNAzQfbZAi0h0IBLS3DezkQgLmosshbiiBXEEc9F xzdB4+twiV1AifWIuG3wJHCU3+kuQOEXgAFQm/h9Zb8mgzOOFnB5ClsHoxig p176Vd+7EExQsllLIHPx3oUenISZIMachBmZbyGHMKEhwmVzcKmXWAq9QDom dwDTcoS7PPTtVrn9C/GIajzDt4OskGhiIhRymhImH6Vi4QZd3qqFUojllQ90 0pvz8HlS4eI8WmCIyxLukPZIACVYTp+F+AaHX7x4Rb/zpf1psIQCH8gdsm56 0f7Yr1fOWrfqmcX2S/TP7Qu1kGfSMI2KNniJIAX2FmSe2BQmpbLoTUpURn0E 1g7ho6hYsEW3RYS6Ldaxei5oX7cG7Xu1HcKjLLzPrUWw88QfNlAxgQVPnF4G SsLGQTy9tKL5aPk6g+VnjVVMLVXsYKYmJuP9Lyv1E1spf9Lr3vkfa2fnDVkf 8OMT/FxqPfEknK3iF5wg/bKpBycyqQgnKKMoKUOHHDJh955uGvZ6281qDTvv OSj4Agdx9dAjvv9/HOzfoQcSUb11Zh1G9IFAUgKcRR084IfwB0scfzGvJ0Gy ZiABj/PXlN4wCeNXFltKwnnLLiThzPaBhXEVCefNG2EA1JMPvdveqN/RQM7G Q+QdKewTlqDHmdU/AzXL59y6ZOTZW8ekylrss1v3PQw7y9tv12sp/Mh04Rh/ 0DZbFXgHvaoYDF8slzKETRLbxWk7eZ6vlghSzFz2gjiO67AzuNSAOuzANoDn cCPYMwzOerp4MCAKSRo44XFJLGUuzBYrq8SaYctDtEQjrZBtOueXz6IXpfnR GxfpG+nNf/hCeFYyYwDkBUO31Va+pTeYwqV+qaorbSDWXdcnSEMvi4xur01q vdZp9LqsMqNX2/T40Ni/znaAb0vEZ8Il8F47gfeP27EyfUE2JcdKbrilFJ5n JziBULcfAJ4Xh5+8PeX94GJIVmvm2sliIG9+ga3a5iC4GC9NJ4gzY03UIId1 0QofUGACDYa6ftAMJPtHOnJmSk94a1TnKw3Sfg19HLZHnTnqTu27VIDqpj9W NranmTN7VpCvPMUp/BvZwcJ/WTayMBqd/rK0Ai5IymXh6cy0oAJ+iM79SAuy +yG57geXxMS/Ua+cu9r+FiXx1htcts5alcrJoF2RF0s4+PE8fPDkn3myABqD LHD+4kYllUvm1HXOcDEth18kLtW9vss79irAqA6nU7aUQbVQ32jhSb9ywVPn AqXc+0DnPMTWYKYp5XX6PHiP9/n89HMr38pohEGzam0MkUNqW/LsismmFLUn lKc/i0WZ9EadunJVCnm0lo+DEJDDzRQlCd9tiGMCDeQkZ3HlV/gqqPgPyKH2 NiFil72T7CxEBY+RLTglpSsNwgjbTQ9fbC36HPBEC9o8RHVJv9ogg3QdYvC8 hPcmsXRgvHDhr2s/hquv4QY5/zbbMbz7tTdSBywso2o51vAcDVroJ5YE+262 H5RCY0FoSo4N4fJgbBWYFik7JyJZDsErZ3MisAAubrA91CwJFQdsLW/Vzip6 sbDEzJrjYj3FCUYRUIJTrRhY9jITkipr+PffP/U7nX7fvqnScRc0LcMuI1aM y8xVIVFkQqeI9dXehANYQmARqyjgdgqzKFwDpFhEAaz9yUSBMNVKAhIMUvDI B7ZO9Gu+tSKEVvHsIx2FXTf/h/77qWQyHHbOKgaZQEJGJuv1FJ6TD9KFkK/5 MiGEBpmQxH1korD7x5UJHO/B7YQVr7vLi1a6aWg3TdJummm7acrthkOr2Uot NKImNCJeTc2GaqFNNctd6KWIsfknaloNU9NqGJpWgzStRtq0Gq5Nq2FrWo39 mlbjz9K0OncferfK1hYh5lfr7LTWOhcnjxiGU0Ik/7TLhNDoRULSCk+k4nhg hJPyK8fZ2GBk9in8fRut1ygLHgAF6fgjd2cZR3fQa+IrNNmbNIarvYtWi7/G HqVV58AU1BchEMk/CxgwksHJfhHSkvaLBKgmNTqMYPTokDVIDKE3CJbbR1jo gmijuaLpdXIl8+s23PzTdPkGgymcigLBvwrIA9M7iQNT7iMNUuFDCGNJy8og Q6RBYENW6gWiPUYvuaK4aneVnaj8sDfxkDGBBvvHYBZ74g+7/QEKvfWBlFK2 B3N2EAXnVU4r62iAbI7T5b0J2Mv7Fho4t2pVHbCLh50nfM8ZWTzsDKOKh8J9 LDnNfVk0MmYeplDioWz65/6wp16G9G8D73O0DjcecnEQrDpIv+B06ZcdVkyi BxYnlYKWMH8QcHGlcXGHQnbsK+u23XC+fo68cfQUbvzr9qhzcqaDNonPPOG7 HVZEoAcVJZSCFLg+CKDG2qrQyntriiuw3dzCdNdng8H9IhrcL7kG94vR4H4p a3A/H97gZit9IMDxJJW1Y/MICWWbYI1ePZ16+C9Gl/w2YoyT9SDjpFIoE7ay O8RWi5Btz5ChlkrIHMtQay1uIksDbOKXSgXBfP12GU2xwwcb1B5INJNcFc8s 5jKhKUu5DClYyBW+27U6s4gr5CyF9v7bpA+zT/pAG6UPtFO67FbpAy86DfpD P11x0ikdplCi5qAn6mLTAi5Whz9k/gm+mKcxIBkvMCkzGPC8wBI1ZuQHrE1/ xxUmqGK2veOKK40dQw4tnX2xQJ9p4yxPoTA6xRo2NGrckMVifqqzD+MVtj5Y I7J1S57J/i8kXTHv/6HiBeRPTnBJ//HbYlO/qDTWwfyvXvy82s5nrA2TIWS0 hMhqYilPswembaoNAZaI+cAs4G6H2AkJCGoyMMq4A+OMozJRf7WEfgjf/we1 W81Cq8aN7+vKSX/8BKLlpk0+2aFH8AdrGv6S0+SBRtPkcUll5isxU+UMAP23 lwmg/w4zZclxn/xtMAL1UfBfxc/RQ+ChxBOgqkjHXjD4VO088YdFGJTCIA5W QCGLwDgXpaA0AKKgGJ+EukaSQSA7udn73uNi42DBN25Cp0jEIZYoFsGUfUUa wiO+zJO1BtTNRstpyGVOFCRYyjxMt5sg0RklvjkEFTLfzuA1Qh/+XsKDWROp LQoNsIRFsZkTbEOsygV/1N1P9JnUjaPf5IN35ehrTssmVLq2TVLKtG7cy9Vr f6oGDn/OGlkZnDVkGaDf5IPLAH11kMFZwySDs1JH17AMzhp/Khm0xzdtnUvb TubIjRBj5+IH+K/Nj4V0Dej4eSHzSRg4oPE0zJ8STWzfjNsaFAbBPAlEFBb4 Af5rUz5I16kePC+EAmHgwChYetxGxtNpZD2dBng6DebpNBw8nYbB02mU9HQa fzZPB4E46l01LppNvacDFChtEz6GmxD6bPaSVCYTlIiJxB8WqVAKg1xYAYW0 lVXhcPpqhW00OK+ooXToM1llNwv8kHxgSOjXHMUlVNlLujFKJLGM/lIe/0wa TKo0uev0bu6ULQbDQefEj8LNJvDupuF8lSi6S0WxImnSr3zREDqjdGg5hZSY MvmddPjzqJEJB0WfyTr8ZYMfkg8MDP2ao8OESmd+SUoZBaYMHnBXzHfQXbsE 0EenUT+vK3cD9ToeSxC8gC+bKX5EP63oYwoj+qyoQhrKOPquKkoBapoAamYB alKAbL0QpcgDqFg/xDj6EQDdmwC6l9d+SL12FCLDursA0S4PInUB3gWi+yIQ oZIlE+HBvH2Q4JuTAzTu9sIIDq6jNskKn8Kl6Et4P9gyO4ifht2LVlPdrL5d z9DT3U4xhvwx+4Lh4z9yTCKj0xlFllbGLPIq7G0YQWvI+tfJanmC6663lb94 W/xSxDCOtPB9e/1+d1yv1euaIbzHkzI7PaNZQlLYFxKZhP3IkR2j04iOJZUR nY7dwqJjAMb0PoYVneD6/ls+JenAyAK+m+R0fuGjtLZxcMFFgwhoOdlHdpGd X8SIRjckFkuC7xnx5Y44KEsHtvWHXlq8rVakwKBaqVEiWUr0YWaNcUme008y NUa/W9oQodAcaKMpxWYnKG8/y70RDguN9F9uAyI1m7QH9apeHjgle/qASWCB UsUfOeJAFFpx4Lyl5ioNbP65RKNZDab1VheEKZx4TTj9bpdKdmVYFOi/AuX9 fIHyZN0Yd3uf2zdq+w2SeBsjWCAM0Aw2mUvbYrlysDT1QY7KUCqb3lCSMsrD ufrpFhUz7U67uCgxsrQtLuJC9SuMshqTxUbTAD/Vh7rRjNetZrwumnHTUD81 4+pQX8i7pxmv/2nNeB011UtjK4U0TQPF0oiTB099kNdAH8wSguxlpAQsHqxF 8ohQWOZQtPPldxKmbd+MKUqr1St1I65BrOCKHuSofmzDFaWWwZWx+UrYsuJL 44tyN3MwbtowhvSjN2/QwF2Xkgs4yW4DHSjKA3/ACTQd8NkjXq8y9IK5FFsY kc+tpm7OrtXcZcZcX+AhMouWARaQaEZX8Dj/Kgb+4j/cJQwAIvypaJHUxPsA QOBPBeMJXyx4QnIWT5zL+bIBzEax0wTCtnZWkRTefCgmHTVaGypusfLQ4xNI P/XbkuvJ6zSZhg8pLFNToDYMDaQa4GFJzhBJjJWGKlvJo+/SzhdnrZec+ZWz 1kfN3ThIMDhvZoYFHsIfMrcCX5QYAuJxT2ybEQ1p/Nj9Eo54svKyl+Bo5eF5 x3isCBzIxgDLhQsmlYzO/+P/RCNBPEHBFVTsBJ5DBlOR8Qf5v9R+gPSZBUGi T51D5h2mVsRTHUT+L7Utpm4XyW0y6Fw1azwyhCI/wL2zms8ekUA8GDACiDUk UfgpmB7d+5loJovpI7xBRyOm58mfkuFdAyY1YGUZ1EGjCoYakiryOkraoalI Tvchlsxfhl/xXlOYc4+SUQZNYU6z2oIekM9z3cWu0J4BXpQooZaJwWBSCVVK E1qcVnsV5aCkrkpCyU+Bt1xtYYW7a407DntojTSwlK3J99WaAmrDPju6C3DF w3sUwWl2lCA5SEXUaMLLLKJQPFNR1eIZT9fzR2cdS9/nrm0cND1qKmyGDiF/ vMFOEdIxRjY6QvqP2bUi8iSadGDvBFrfFW59Ng+lc3fTveqPenql5GWonsqU NW32BXTkiP/I8VoYndlzYRTFvBfObqnlIf5vz1ks/m+/6SxVPc3mhsnQ3kNx cBhVod6Ji9beM6lkLkoglmjSg+IdkVt1D9QHHbwL2rcHSlXC1udcbUIEXDBH IFGyUl1OKiRrJ6OSOemGUKJZN4p2GwXrfYguw6HHKMCVXvD9u4nfG/XdLAJX gkxDiZG2I47l9TDtQIb8Q4TxhGTKtFf2nJRCpS1fx6oEMCLlnZJ8+UaC/JPf xhVBFj38c6wtveT60D1yMF+M+n6nepbTJ7dvBlUlWomQN9MXoyR4jD9wo6Jf zXOGQLCJ4mn1THcOnGQvcBJcYO7PfR6cSAY1r5FySQV+okgED5TTr4Jk8E8n 6QClVjoTPEYuMdEuyKqzKuIq/Tz7qm2SyU6AbsjVD+K8J8x2Gic5RfTV2ygY 9pmbKOy7lgTMD3yw6pUsFIS+z7NQ6llWIa/OQsFj/MHbgfVYK5NCvWayUJlT rS4WqvR51j+ShULAulioeo1bKPJVkIyjhULSMVgoxIPGQtl5PlzbBb50bRe4 Ktl267VDt92D+xdXn/7WH/ufrGuPV6PPyn0+o5PP3lt6s827TNN93Hzx0P8Y bvg06wRK1SxDoqf5q5DA1B9uARIxDf831O2zTArZAJZvMdYowzuOLfwPJaRf zeCS9Ay8NJ/zmhvlufRqG63f0eDyol65qAq1EtqqFTWWswhsCLLFA8mmBYcm ZtGhCUXg8T5QTSuJ0RG9JJE3SIxQCo75xqgUnboeHZp4MuxW4N6ITRLAZseu gNnHKFEQq9sQq5sQc9s8RiJqkreURUu+WculfmYV6/Y++5Pe+FrXOj3p/jKm tSQKX6vWbF54IZwmgnvLyTDwmOyUIQNclKY0WGis8JSPbUUbx+HGo1lEpm3C mVJiKQivOn497skMYhOor1B/mYRz85g9UiocIfLNY8A2NlphHXY/Nip1A6yS ADEn7fubVuv84swJ3fXsBcomH0XRJblSdDOl7IGuVjFxDboh6jDjZEPmaUA9 5WqnpeIphlx4O5fnOTrbJG/oDi7gULcLrNMHVCb8KQop5EkBVUrYW1ebHq4s +MJTsPRQLbPOdgOE32qRqTfXXTprYwK3PRpM2mPc73C/TBMxHSgU3o+9ILmo ytjxeivzVxy+YLM4hWycUp22YmW6x9024or3uQHjj8EimuNoZXCEL34FN/Ow d5gf3AVGvTe9dZg5ddRg8xYltCe7i0a7D9RMmEON8sqdtU5ZtS6ihbB/Nxl2 +hYCTZ/mQn3rT7rDVv2iUmvnkV/dtP0ixRP69qCL/q9d3MM/nuW/LZ4CFQXz tkUfgUNsdDuRzOJke2STGTEAtHjmLuoEzzxQY2G73S/N3Q4pAaPcUwtoMT+3 Gog9kAttt/2537kbTIhB/lmUjEmstJYhBTnKVRDcAU3f61SuXsLWMB/6IGqm XYAze3KvZkzcit9PzvVDyLliH29Qv+LQfRXyR+gd5zHXoHU0k7QHaDSaM+x3 kdKgv2aFQTlzFAb8IVSE3SbRBcUPvVv0pTOpnt037/G5fyNpfrGi2AsRjwc9 VP64dtFx1hIAtIyGLEE8us4G5ofCDfKxXparr0s4zAjCkMxAED5khJhZyn3b 7l2eVJEUEbWle3ETIyorXyhAZMW53+n5k1/b48519+5DJosNZahxeZRN2Eig hudJBtQeybjanI/FnDWCam1fVHvnY3ctdifWWjprFt8fT+oXn68gQ66YHRhh o6GPfr3WaYp9t0nIeCocRFBeyjppBS+BRyQmyRpGdE8bIJ2gUdR5ZsBGxd+/ Hfc+jNrju5HGRn49hbeej7uD/rFQoFBDo2p4DrohvHsfp04WXVroER7DZzUB KG4mtdaVs+7klQu6QErt4zkhV90RysxVHvoPhz3h2aCUc1d94mVkFUsne3yx xpIrAN5QzotYrGbbOZzwjZNgPmdnu7Wqd7GH6l2cnR27aJskEm7QUf5/aaG5 1INq4cWrayHShQI6GD405PmKdrII5xoXonfZgMEDTCTR2STIua8vMbaOU0Wy CTDgpgDulCYvL5tJcW/sfOv9GzGPi+JggEv2gSBEXphBmJIe/JbEiUYPutFq HUexpgjIQPVhgjN/R1X429i3+R1FZcW0IadYjd40sGelmpgDyB4jegjZIwHG NgnKgwkkzDhYnMfhi0YXoBJ+e3Du9z5qLvfEiiAW8P30AZgqQIr4fwXlcaH0 h/29zMev3XEn7x2f/Mvi6ibKrZzW8eIUXTnRKItW53ZWnbvP17ndz6xz9/// 0jkDHXhSw+t/uDpcpdV4d2g1vs9VY3htuKs1zyQlvptHi3DnQREnvXtINShx mv2nU2Egw7z/S4H3UmADbad9m5WGi7Jzueyr6vlKmhkxBM5DhjbzEUm27zxe cFxAKECqeH7mPN9pwPCGjRSCvdzFNybpqaKvuUq+Jgh+79nRgnLXnhjUit2R 8hBSz5Ng7RUEWFPlJ9zAYZdfs5nKD2X6rvITLpLPkZ8r5au12rR7cmFm367M VZfgmpRD6xJSCEmX1s/f8JZ+UZ1Q1zjudTT6hIjxmQCoxKDZbFXSfoGV8x01 DLEJ3LipTiFi1/WW79k5aLDfdw7SJmcsTPFdJFo4Lwo2/HdrmQ0004daFe+c YnRcqT6GkcZEDTqXkOGY53SZ/c7Xj5thp2YXnUI5oYw4CVte2XZVj3yetBqi ZnPxKRmYJayHeAqFYpKVGr7EEAQqmxJEfKYTPfVMM8Wgqp0MIdMxzfujhI+Z +HOIngC5p+C5YAyiJwKVhD9fTxeJbtCslTr6fzA+ppn27DKKixu//c8hboLg AcSNMXEX9yKYhvI+yUG706t6J17goW/nOrNxDLkgZUJy7yl3+hp3yglm0UmK V6Nez++0b3oT9NGfXJfI5CxGJkoCSkFRSqWgYk3Ye29x5d+99zxFjEAefnlQ ZQmPe58v3QXKyvkRUqXM/rSiFfDcU75CwI+0zMw8jyzk8CEOai15xIjP+ni9 S78NKX6yWS2fYEmayhWy7L0VihRuxxc2GWhX9t1K0G8EEAtUNjq5lJi1zCyb YW+DWqrbqjARirs+8OyCB28VI92DyhZognBWqyinGtpP0Rx2H7TbvS5O3C6j 5BjT7j2Sc9uOAmQT9nrH0RlkK5ajyP45UKfOzaTjty4q+dOJfI7YkaGPl11n 2vHdp851ltpFvbhA99OvjH5o5pF3yBxFD1u++UnQrnkSbgK8meW0x8i4iZHz hetdUN1/I0oBpevdI4Pev/w0ctOhchuYsi9xkV4K1p7iIwJQsJcXvBazl1qj qh2y+8Ei3i6fiAD9QfcjJszsWjpOC4nrU/jcHWgkV0ScjD9nL90hgyLMgjkK HU8Qxc+hLuHX84KY8HwmkrcAU60yfkencjJyjL237M3q3trl6gveCSu7EOwp lPyO6UJK/B3bcv/29u6ztKWvhGhy5Z++Reuy+AO/M7lp315UO9nNROL+Q5VX p+2HHNZiZ+Z5IaNwdrlaJew+HPAS0iAY9D4b/pL3R2+O3tzejXv/7l3BHTdi /AysaezuJHYN1LcweQ+MoucQe+YNv24T3wOVsCultgsvWKy2y0SOrgEKTPk7 ekMZTHnx5KubfiGndzfbdRJ7cGsDMILef/SGchmCA/xrkEyfSeSdGKFF7nZY wKQmuT0KVYG8ZxnCxdmPWxz/Q9rRgth7CBON25z6WX67Wq1W+BYAmmNPxYdC 711GQIRw0vb99mVvbHF7aYkZlURj/k6/zQtwagj+eNQet02ucH5RiLNPfs5Q Ddbx/Zv259zpekyorVzWic+FNfX+rlyodOfbnHa3UC05hOef0UTrkv803Dyq 6rxBjfTblGXvAAXVZkwNfybT7cPeCztFlbrTG12V12icO0eGLuqcU84fQ5eN PZELSobRkIuiYwXaW8tNCprR7PUsyFduREQ0ewLkP0Cnh13bkLL06UlW9F4t xs5boUaTW80/ertxwGrPpgMKeuDWQ4uVnft18LvUbjpwH/rvXjRs/90brqYv iGbYOSZ037nB9BEP5VUa586RER3bO1PqNb+sopEJHpe3w7SRC93ruy/Axev4 LlzZvLeCDr6TpzGXwXIlqSs86C2fomVo9MtxHoHuMJMTRZX5tn1752T63fWH 6GROwa+hve6RR6A4FwaNp/lcdFKQ7T6a6V1uoqfnBOlRsPFIeeEGQnPm6phk UR/n4S5Y4pEjeUEa2IOlZEpJL7PnuVnFv6eOXt307tu3eeO3knpKtc+J1oER w7yLQwZg3K2mr+KlWClzG2P+miMOScm0qER7kGw11U9eXlbdHzbbZBvnTpNU uDmmGb6zL3E5+jT+5Od7E644A8q0JofvDTWL7coxFaBZLFxQH2znSbQIZ1HA p+tZ7u8sAsLQYFDCpaMWhBVQREi8tq8iJgFdq8Si31uVOllmUSXW/ztJuvfx wj6bfvk2na9Qh8MyHsZpuSe7GHK2wirU/bth3brqodKTCh3Efyhzwr8IG3tt 9HLFR1lHMPDnosxMG/ZyczpUtZjiZbV1uf4qL/bcDn8deTfRcrujjpH3ligv Udp3xyTLH0hJoUZ7i8yQT1bcRqOg4uawViA2xyHV2skRByXYSzczipa1orWq ptujxhMLCBFc0sVISr9nR1dKIT/3Rt0i+ks4fw25m7vb/JeW0ufi/n4BRnR8 O01cUNU4hBugaJumu9/N1o2asufuvjuEZ2yhnJIcUDc108Sqlt0DCwW0kvCs qlCZztu8IE7e4djJu8xAM66LqAcTxyHUAw2Uvq42L8ICu9U3vPdpn6rZg9Pb fYtTAmrW+O9dHB5ySquUnRvet2tOowBKj5i//dC/PfBRLCGL6wtKzx2YKuK6 HZiLr9TgXNI3WT2yqvW0eZJsELumYhTG6I3LBO6x+xAk4dfg2zEm/qmt0YfR h0NYIkcxo7cd0CYB766KAkoCwjiELbJIXKMv6816Ma1WlW3C/GLi4WY46FTx gb2U8qdWmeGIclxCcVzEmpZfRLgpeHs5y1m5yFtzZw/nu91O7VbIRVnRZrON vZvVE9KNXvcSE2q2dkIZtWrVC0lRIfkliPyNi8j1IoLXkrhbb/QtkBHklqhb b31jpFbOBJi4oJv7FR5sMW0Z4OXOY3luMoHrVggjfPfDIqjVMzt46X1oT5Cx M2gjitNarcanl1CeWu1AgiTFOyNOyV0QpVUrFbCb1v2IvA7vUsWhPd95yCGD h6j++GEVb4qltpBCy2dal7M4u5DDN9fedv1j/JcQwh/xFHOReLHqnCkUaqSS mkk2Jq+CbLjEMcoxE9e/jvg7LvtooNjtt285pWUaFepXRg68FBG0zL1fbNNl tDivVrOAA2T95WwbJ/jq3QGJhdnuDzxEfgxfIBvNnUU/v79wCeBL3+LYbQgB mJ0ypG9wdVCMchcyKCEK8spXZuPrnUbzwDOo6RrXzU3n5q7z0bniSrYu+tb2 J12/Wq+cZ4SSr9BUWfZSaatagjERLMliPW0qblW/M7ob3vTuUf+N9zQjk7VM UIc+R1+b7yEqAs4jOVjQT71RDHX2kexVGZPp2M1MMBgiBRPTdY6RLpFkVKVh kkcuEmAiDnyXgn/Nr/3hxv75/LzSSmVE/iFJXUdJMH2OUKf88dS/xkTcP3rB P0UZ4TwCnr72kKnnyTToP+3FFxk6xAS80k6bbS+oeE/tojOtGNiAI5n5ZAW5 oAvaLtUUVcz9PWVvfBAKw90cUYFyI/PU9zApDO7smNv2O9U2lj3dgvf7qNf7 6MmaRsnzZnr0yuakaSoRvG/S+TuG37oDVaM1OhpaVEGJ0HrvKxENpvIWYvSa pvYYnJCzWUmlET83DiQM23y6IIxmBSPYNC6P21OLBzUiYr0q0nSapUa0JkE1 dWuTz/H5ee1iPY0Ml/IJ5vraB1I00ue3QeO8OrF5r2uzoTa1iwlnqIgFLJ4p V9SeKG23kvFsaTFmIMvnfnsyujbMxnp7dxSZPq4Ag0Z/stZqucfw9Ijyp1p5 qK5DUV55/094fr7L3jRB/gkNwO9hOqr9P0bt7y8mwMa9dhbVM+h8wRwFFN66 Adqew5Ev2YAWzoAsrit57pjLc25grjaeKN6hdNxfzbf4RXQBI9XWjLo3TZew KurerL6Gumu7aA0dvH9C2DiQ3ZWaRF6ppfuAIvpX3qkXGpFTTfBEB6rHsCPu 1C2gqs1Sy/2em6o2dQv/s00YLKZBnGgDKvQ+tL0uozgWiL+3I9kd9dqDTjvv /g1jeJ7RzfjjpFWtX+icflHW/EU4C85hfeMPdD1l6cgG6LkxqVVqk8XMbIT8 7TrcXCO/tXGCSL1BNN2suuEXfFX1D7RIhPGB9r5ET2tqjNt29OTXEzx7gx69 Ui9XtBpU89y4ytkJ4Kx/XD/2tTe5avT+NSbDonrrjE+HsSpMJxFe/tauLOEc ww6rL765ipKza9aPURHqxBj8kxS+j8oxiEgQE6NkLk+BHMNixINLaSXQ2k8L Jbic3DXlYGxq10GVPVQ6CpLdsldBfrHz0KdACSrStnaDIcGr4FzBdI0mpbVN xz9Ey2AThbTxxEmwnEG4w4hpFS4AqeliBUop37JGNkgQDkhMHKa5JMTIYzCV t59ShhuNQi0CkT9FT8FDlEgtYwIP/9U88nM4No9GI7956Leuyi/+2ZoHUp+i a1SsUUisZhtIbrto1E8JA7h1SKWpGm1uMV8WvwabzN5GXYt5S0jfHf+rVeTn sLYKGDQhX6bTIw68pVVwqlxmf6Z2QXXqFdvFdjkLN95X/B75BDp6/TZ+mMyq NedewF+NVujZabXS8Loo3yf/0vPnwZfwD6npueT7qn+BjM5VMB/m1dGZz/TK 1PxoL5JqAWrMtX+Hhicu2RBLV/2bXl97hj7zhh/fRoeFmyPO5tg/8bYjBaWD cObk5c/RPFrHuJlNn6P1q4yW/G9L9MIkmrIh03seBh+fXNLZBXKmKVZyHuOK klx5psD/x+3YNDsgSJCTOtoOcSzv9gbeifQ6d0WypBOGhTLxxR3IRQiKdRgE 3sOMMZLVmkVLlMcYRL4v4AzNX0fphu1RZx4lYXbbyjxM47djZbva/hYl8dYb XLbOWpXKyaBdEabFc9QM3nPTHzuYP4kc2czepZPromQpJEtc19x+3xZCPfDM 6MiTfutgMwXAJ3G0kPFNZYGStnMIU3mMWMOEJBv+6gy1dk+hFeu+2yH4FDep MnsBCMQaAF5P6b3P56efW1m1hypNws20XpOl0xt10CN++OrYIzRO0igiiQl+ UQk5UKb3loJSUdjXPEaacUqeK5KRUJuHqAgJtJve3a2IGaY4PGTwmjKIYXb2 BkyuJMcLP35dRR6uvoYbPiyI0637YRNf5scYFRcVCNzH7V20Wvw19jqDHtDy Dfw4p35JISOo4d2vvZGrq0+J8R7O3a5YHsJkIa+jRBaXxU0hZ9r1lGBTcYcV UFzP/FFx7XvijykBcIE+9Pf1YIWR8g+C5fYxmCZbCGclnxGa5urfr9tw809C eEzpfzat63WKalDxHHvrnPsrD6NyRFR7axxmmylc5jQS0TisInL+efgCsXKi F3mX5SKotQwn0tKjSq2z01rrXDyqhAaD+OM8t0sqq3Ot3c6xI+M25O5D71a7 gC7n0VgfOae7DcHg7W9DRKTZYSiGtxzKLpjFWmHxk4cEuat213cdX3w/AQFX hUHGVd4bYiM+8i1qD/qmkOa+vG+dVU5bNT56Q1nQE/yhxDU5MNYF81w6mETI 8PdP/Y6Lx5htNDjnxB841IZPUEh5S+RzqpZ46qUgfbk7QuAfvjHu4QDGQNE1 MAfwTRvVJIkz93tife2G8/Vz5I2jp3DjXyM3/+SM6etwHkYBMBZHO+Dr9VS2 dqY9qGbONPZdZ8cyquQ2a6tT4NrEd9ZEoiPubFouKnJVKZDw3iplVAdJmb44 9S1Iqt7n79G7FFafz2LvYsxxADUoo32CPrjxeSgF+nL47lNWAVmHonW40SpR +98G3mecOkRFnPFzOzgH/P5pus7P/WFPG+4tm+XHdJ5XvTK5HKslKmrhHPt1 n0R59tZVvabJuyAyE8hsOkiYPl6vp3hudg1J9PurKCli86xSUEld55tFfNdO M80WeOkNTUP08szs3F8ONzunma77Cx8j+Ze1VtV7y+TVqjWa73gFEOERu4pL N0AkmSXB03LF8vjhtGA+YcKfxg+QFcl/Fm3CKar3tzco/ZSmn5L0ozcE/zdv snnfT2fzI/R8M/sabEJHkVGOr8tdMSa3C2NNiQQV3MT5QBk2j6xoQZK3CBcI CWnl1wLo4zyIn7VQzsIv8SlONiIqZi6KJb4HjVWQX4XG8kvsi/XCi2csFoxN /3JgxIFwdCBaC5X37nqzTfQl3ByT3UMw7xNPUJqAJodDxRWRGVElv3FJ5AUx xlaHKPw77snsiBLX1sJs1fDmAbKzTdmmnG5di+W5KlLVvOZ7jCQMD7Hm/TQG m6BTqDe1bvzXZ3F9i+uOIEV9C2QodXcE/MMTa0TSe7oCmmarNtfX7bYOvWY1 6A/9zKrrb4tN/aKi3wE9XsXP0UPg/W0wOhnfYzJqilEuL9nVL3IbCLzTTdOB cgJvKUg+QdwBa05bFaQMBdQKtIohte+JEBOq70k/w6xVgGjn8Uos4yH0trCt /etzuITyl3CZCfWqHvG9nsyr+gV9XU5D0smzhynLgcRaMJ1uN0G69g+1p3ti eB+HSptvZ/C+/ukddB8RmFcJohUwp7wRM4cqtVjNSNJ0tVgES2nv8f9hK9nI vTw5wVD8B8X6r178vNrOZ7zmeHUCdb6oFt7T7EGONZWgLn6yiNZxvTZpvEz1 kxHQBtpA6Qmb0AY4k9f4OBWXzDMFHljZ4U/dYfDPM7THyEoXGS4WySXFXHHP Aca6IHNi10HaXhwmE1j6xH4Pi2gmYITjHnnHSD7Hbm1VFt3H6d6NVq83gVZz DFp51pg0C2vlWcNrmrSSFrjZPnx7DdU8czhc8UdUTReVQ9ASlWt+LKNxzVfW OFUrxDBEi2CeBE5mcACU+WYwU+CPNoOD9k1Bv1Y7BeqS0fFVhf3h7Cn4/Dyi PZT5Sh2YgxtSWfiHUuuM5jkY0pSTPEOq1eusIc0U+KNt6L/0uqReF7fWsuxf Wa1t1hoGUXQ/MitUGH+h0YG3iJYRYlecWv6xg66Cc8d4GZLVcg+Y6ThHj8n7 dPgBow2SLBaRDkmEUYht6LEO5n+VCrAPRLx+4qE3L1eocqs4jiA+byIN3oC7 YPmNjaxwncg4ik6GxWRcJw2gxAJQ8dKYjw6rRG3ahI+Ni+z+P2kwP77HJIg0 3IQwRkzvqkx2jVcY0TeKjegbF5NR7wp4LOJOlj5xht/q/ELNZr4986cHus4b bnvP6T9oV0zeh5qSMOqG1BMjMzZfZQLikHWwQefEj8LNJvDuCFWqXTTbgfVr NDg3REy2ZpjcdXo3d267/dy7QNq5wmtcyz/EjtSCyu98i4YhmwVGR72lqrCv 2ma17XqVnPhfg7UHN05vk1B2Ib9spo36eV2rube9jvd51MHpjntTCmrq51Gj XkhTSYYJ5apsRrfj5zQfyZD7JlXZ+Zv0dy7Iim05We+oPkyOe+rP0ssIXexM yVv0nWmasflTaotDV/QH0Zbc0nlYM3M0kVq12qjrKQSG3O8X80Q13Lv3FdWw qdltgnrjaJbU6/o1Gjzw6HfH5xc+Imlr/TrIXoMCDqyj6LW43BJZwGWC7y6Z HSRsCafEHDT6NoPSuVFZDZnYNlKBlHDpqLQPMiRFjOi14/Vijw1uq5U6vwop XWkMFvWqcajLMrUH9WqpIS/J76iJhHgCLyueo8wAmNfd9cijafjLcNKMgH/s CJSfR01mcIotE92VSDlI4i0aaiRBSufafdKKl5HXGDXh9o3+phd73kKbM511 MBNxlb1SLcE1XCHDcm+TYZLPH3BtWvDk4uQhTx398WVBTSysTHWkiA4Ra9Rc r6WBJd0tsc+kXDpV6/A67xA3wl3dufzlxds4V3Ha/mWtXnEfM5ZWnrZLuKPi ynNQTaBgFO4d44PKUhKJKk+Uoh/SZQpwH9ntI1N40esahTKzQ4dViMIjKyan gytF8xX9XhjFqT7vNHyYfGkp94Qh9hYrr9O7PPncSm+gQb85+SQOLJfco1y2 C18YCfzJu8eZ0006Pa15yWhTtmTrfY+s/qWuZVOAykZHiJIk5EtteBSN6E79 dhWOPaa/L6uvIvDFWeslI/HF9LFZO69N2Sxj9tLdlEKtkGxqUiMlGoGz1kfT 88mgc9WsCeeVDOnk87zmSsc+O3U1RzrI1pcoeCa0qE/tEZktRuJQVcbzjvHa LMdHVRpZawS1yezhFc0AHjblg49UBOmId2gl6azms6tok43yNUUJjyhhstDr y9UmRNgEaBQ3IOBr1YVV1q41nbub7lVfuAVek2bTipRGrwepnLWl/bdO1LwM kDmrYnEzwYsB0nzMiJQPLeTrbDSr5xbq/56N8x3XrVOUfl1mquO65exsYFLy 1zHSoJhDmugw5kplT/L6xefzBaz2nR8x4vqzzI88t2KLUvjfSSEcZ3k5+b9U 4RVUIXiJ6pWzFhxZ0h55fon+uX2JSD3OWt5tmHxdbV5cRyXfz0y0P/aBQadd XzoV0U7bk+QiRWdmGLTVch2BpLLZVw+tclRswyLQR5C5bg3a9z+TzDFDBxe3 Y6kHlTQBfV8hx8km+PoQbjbfTnB42vfT1cLD1dHIOZyt4pfa2bl+Ra/XvfM/ nkDyz2H6MT/AzsHFnS35u8qMXQWG7+Tx/G9xEi683nazWodeKgSvBy6k5EEe 3oHsBPPFqO93qmfZcQJKqp5NpqF+KlLI2VmhYQZw6126dRGd9s2g6hiOntCS C8gLZXCMCCxIV6jxfhNA+ASnBh86ILhMz3G+jjR5TFhZmvVarjRRzlLSdD1H QWgLShMylJMmrfHhpCnik5HmYcVpiwgx9quVSkU+Ut6ezyNUj3E4D+NvS4+S aGJCJDFOMcaEIOn6mBAkrVRMCMrQQWJCWOrqhb9vo/U6nZ5jZ+yV0x3muBEG kHPiR+hZeguxXJrs7DYLJaGTgCaUhCoIMfMPCCWRU0N5y64pnoQVXP0b1FAS HkFACP7gHEZCRTRTUsEwEvE6nEaP0TS2qcAAAzR+R+8Gw5ogR4ig+qAdjNla NWW/1XylgBFlwlARDt3yuMahMjbOV19V2j9+lSujLrG23KPvYS3ZPySVrcWP 372aX2oxzp96taazTd4CMTO6+IfR5gabxSmmUM0tfvgDrC1h182otkeDyb2P XKWeFp/bQZ8WB7fAZ8wpj8mDMJhsQ4qS1Z5q0MI/9wvIk/L5JQowr1NTfCKw HsZaC5UmdyxTPQBHBuq4w1P1OoUANwjqRijUKuryF9IMaJuE1ZJekLh3V6xf rakLF7eVdUEHCvPxyQ8ufP36LwI8Z1lXEInJxddTD+/btfu8RWNV4PZ1Y8Pp meLbFLJGyBzhyGCw8kihEd/6k+6wVb+o1HJL1rV5505izI1Lif7BpIA9RQO/ V3cw7Hfavn/n2iGso2mAhgCsS6A/rZ0CpVG7Bfr4B3QMjOnCXYMeKxAjKzK/ exAQy+0gMsjRB/t3EoyLot2EikCmo+D6oZh6raIonUWmuvoyCncYjOnv0mWw ipo6DZZOuw2uiyk+P33XQfH8Q3Qe+bweoPtQX+LSgTCBH64LYSV+r05EHLO2 u93eSJlN+7eB157NaDTe5k43kRbgdOnXBKWbZ9YwiX5iDSeVmlcjzB9mWg0q jYs78FSaDHDeTFoKPXnfKcKfddkE87yZMwVoIeuPmDdD9eE12e0owqz7pjNB R385Pa1VKueVRqXhAaczFVbh3sPrCWIi3CQ8Zrl62xK+b8m/rnucDn1ESDWl vQTHOkNB4UB9PskyiZ/r6evYF5bI598gl4RqKp+0yFOS6xRJihWEaamcCGEM pT/zV4LA3hypMiL/XKqIgzxTbJuVC6OjhKPaIIDHWFFJ+ZpLrPzr8/PKhX/a Z29dLWlbYb4KVQnBcRJqw42rooEcLUEA2JFSoWJgpUxxkejdKkmzC2gzq5c/ 6FxUO9Wq9sBa6iwS0KnGc3AcAgzbTERqCTJeKbG2JaaAFdOglrPfBDDnl0z6 7nRzvk5A9Ps5d/mxN/U1HntAEmR0WOas6TOpnoRYP/WHVAXMvu4wIKKqERhr 2rnzv3i0VdfrFWIxU1PAjKun6fTBBUON+P6CN0qda5Clkv0DtoYfSapO/IMY mvz5+U7Im32k9xTE5i75CdkCSvVkqIMq7ymkjgKrvb+iOxSoEwcM6o6/4DZh ul5DcLP4ygbO0Wr+RNdr4Gb56ssajm854KpG2SutHDkttqwRLgPYE4klzKKt SS+c9J0XQYjSHeRajqyGZtU7a7F1Cl4bKxqOHvxLxXNy/piFO9oP/wAVL6jj iP7QWs7U9DX2nLn32tKYCCExD+HUVc4cTJrnQs2S2b6JO/m6Jw4QWpQp4TWg FN1R/3Nv5MuUrPjk64rvzrbNy/yiG3T4PfAy5IIRIkkYcGRm2XwDvzO5ad8i zxy1CHF8o53oubrp3bdve2MPCfJ/gt+PWCVePsP+PyzDIVT+X3IagMBCtYIU bpd4KAFUD+YJgs23/+copwzE3eRu2Lu99LsTf9zufHTIIL61yeRm5OvM3opJ eTn11OsCUmb69jdvUlJsu2Si6XOwfGJBHDUzg0wboK1qk7lvSBu8Mg0A/8TB gsVP1d+8LF/KbtqfrbrJplPBdqf7zRsljg0BzFqUAQ3XPHJH4pAt29Yc8wit x5pDUalc4uwMUIEsfqd/VXQXJdebfY9Euw4ZDtrZWOZ8R+3x+EY36zsKkmSO KoyvDGxqd1BuKIny2z75S4n00780sdQEMKvJwaaAWYF7TwKL7lSKN3upYVqD 4Z+Z1mAoH2hag8mDZVCnNbKv23tag1XtLdUt1+kh2YFWNddhOj3zYjadzjQ5 b0I9o7xS9h80qZ6FU5lUZ4HsKJ1pfMbLoTJ55RtinQYxGlNVarRVJu/e461S EwrFOMU5r/9RZnSmysB1sMXUaN/B1tJrL4P56mkbev9GzwBpDIPQI772HZXj 9sD3Bnfty/QSvEal+WG4cb6hEmeWelJcplSariNdrIIHc48JqfruElJK9ZWY 0YN0lKYKulpxCbIcEw60zGJnIdOYaxm5NOMrGuqHFfIOAMSH1SqhZlssCsLe 37Zvu97bBSrkHasmi5MBaBQ7QUAB5OVnvQmNiLKrdADLoVwKDDujVv0J5UV7 ORNiix12cNXcfAklCLwBSxVMiCIDFKNxh6700dXNr8F8Pp2vpi9YuyazuFqv nJOabpKpgGUWTBVNDCYvTw+piil+UcqDDlgVWdkk4Aqle8tkG8iQRWZwG8OB +kDK20XvRSB1fVxnVJJ8aww00xyLuCHaR76/2pWfjaJXfgIKpXyNwhnb40Hv Bv1tXBQO9DW+86/7l+3JuNN0c6i09qNENqIVBTLSCcCWy4HXwq6N6xUBxssf kO6WKaAYiAe4LsMAv6vvhpvjAe5lFQwvsw1/zFtZUWmjMJgn4YvXqtYvkNXr 9N95Sq9j7INHN+OPE5xP9mCkIrMD+HnyAilFtm1AnlPIlLoz8GiilFNiI7Gm +sZ9xGnY73rrbAKDFQSApv7kXmhk3/FciR0NmPUpAUiEODiFETnNm+ICKRN9 qTnwcLZJP1cKGR9mMXvtQaftj03w+L0Pba+7CYPFNIgTAtLlZhXMLpF32J4F a5ho5PvOGV0pmJAzzAtIIYohv6XYHJxc+Je3U6fS0M4+gMgAd0pOtGZ8IylM /kwEKKVbF4/Vl9rgAjlcvQ5KPLi03bVhLMHUS7hcDMHY1Ab94NbKEMpfadP7 dai1VqtUj6oCbevZMCJ4G7agX0VD2D1Ey2AToTSJWzy2jROkzzD3y/RzsQJl xD3dL8wZls3EkgYXmr7ORL7pYMeHod+o1JSjf/QhmWp4WseYQnt0gyZmz2zQ hFJzDIyng0wzoMI8XBqZjCfY45CDqDKP82/e4KrqvUVQvHPfla2CljP7wOEk 8whaQJVDUxlcpaw/YLqYVdk6xZCaE1m1gAftDmtGott5HLvtrhaUzaOf++2t FuGHtMzeakW9jXurcyqXdnKMfV0Hx9T3GKgmrJZ5HZrhtBD9pzcB32vg6fw6 rTYVHrgwcPfe4YMYOEkNyXcw0Z9vOwDAZ77mp+xMQOmgW9jX+7KcTsh3FrNV mBxCXctpSiAoNSkKJZ2QJO68SZCm7/leR1O7ff8jiVfd617imNUGIwJB15BB CqcejlWNmlg4X60X4TKh5n4WxS/cIQ5nNEg3fprFidQcWQJIP0WUu1OWJQMa Bg5RTKRCOYCytVHd4G7KFTa6oIvWerw/9kw9Esaq17nz/3E7vjbD5H9borck Eb8wV0ZmuoqBAD9kP3CNZCNqRgvn0KLEC94DnFjh3txBYzj63Z4ZiQ/hEhnk qQdEEgjILLhpBiLU1pQVoG1G2ro9CbxEaAy5eQymYZ6wB4NOtnb/b7ZwoANv Mj72Fosprhn6tEs1rSRl7RRlUSuLu19cDErMl6qFMb0PAbU0y4+UJnemRJDR yia/aIUrppfcyiw48dymzo1iGxfxGk4QgzRP77DBhNtsxEDXs5Rz/frCJR7I 3PQv9Qb/EibpEXJT2Li6nMEVO8hd9ebRwybYfDv2HtA39Adm8uFbFgbG1ClQ ZoCAh6UQwItWVt60tb1CHkF7rK8qJFxFEDUHYjsizxl5nTH8ncBHPEHfNPV7 RC50kGQqhjOXqlngASOPnBEvWqznIdhlHLqOnTx8zQ4R9nXe/NofiiO04/nX /vDYm3+N1tIgAjp6eDhJputonQ4eUNXJc0RAxg4CCG+Ob6Kn5+RrCH+9cWd4 il6GBrDTl3/38Hs0omuPr8fjYVc9bYafcp8kSJ6TZD3zMizSBGHQCD/1Amov 8cjZG0fLb55QPIX+/wOdKsFXpWsDAA== ------=_NextPart_000_001E_01C821F5.FE61DE30 Content-Type: text/plain; charset=us-ascii Content-length: 148 -- Before posting, please read the FAQ: http://ecos.sourceware.org/fom/ecos and search the list archive: http://ecos.sourceware.org/ml/ecos-discuss ------=_NextPart_000_001E_01C821F5.FE61DE30--