From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: (qmail 20047 invoked by alias); 16 Mar 2003 20:16:01 -0000 Mailing-List: contact gcc-prs-help@gcc.gnu.org; run by ezmlm Precedence: bulk List-Archive: List-Post: List-Help: Sender: gcc-prs-owner@gcc.gnu.org Received: (qmail 20006 invoked by uid 71); 16 Mar 2003 20:16:00 -0000 Resent-Date: 16 Mar 2003 20:16:00 -0000 Resent-Message-ID: <20030316201600.20004.qmail@sources.redhat.com> Resent-From: gcc-gnats@gcc.gnu.org (GNATS Filer) Resent-Cc: gcc-prs@gcc.gnu.org, gcc-bugs@gcc.gnu.org Resent-Reply-To: gcc-gnats@gcc.gnu.org, 178965@bugs.debian.org Received: (qmail 14761 invoked by uid 48); 16 Mar 2003 20:08:50 -0000 Message-Id: <20030316200850.14760.qmail@sources.redhat.com> Date: Sun, 16 Mar 2003 20:16:00 -0000 From: 178965@bugs.debian.org Reply-To: 178965@bugs.debian.org To: gcc-gnats@gcc.gnu.org X-Send-Pr-Version: gnatsweb-2.9.3 (1.1.1.1.2.31) Subject: target/10113: [3.2/3.3/3.4 sparc regression] ICE when optimizing (gen_reg_rtx, at emit-rtl.c:662) X-SW-Source: 2003-03/txt/msg01086.txt.bz2 List-Id: >Number: 10113 >Category: target >Synopsis: [3.2/3.3/3.4 sparc regression] ICE when optimizing (gen_reg_rtx, at emit-rtl.c:662) >Confidential: no >Severity: serious >Priority: medium >Responsible: unassigned >State: open >Class: ice-on-legal-code >Submitter-Id: net >Arrival-Date: Sun Mar 16 20:16:00 UTC 2003 >Closed-Date: >Last-Modified: >Originator: James Troup >Release: unknown-1.0 >Organization: >Environment: sparc-linux (Debian unstable) >Description: Confirmed with 3.2 CVS 20030309, 3.3 CVS 20030314, HEAD 20030302. This is a regression from gcc-2.95 and isn't fixed by gcc-snapshot (20030118-1). Compiling without optimization (-O0) makes the ICE go away. I've made the -save-temps output available here: http://people.debian.org/~troup/fpu_ieee.ii.gz (It's 280k uncompressed, so I haven't included in the report, sorry) | Automatic build of aranym_0.7.0-1 on vore by sbuild/sparc 1.170 | Build started at 20030129-1353 | ****************************************************************************** [...] | ** Using build dependencies supplied by package: | Build-Depends: debhelper, bzip2, libsdl1.2-dev [...] | g++ -I../include -I. -I../natfeat -I../uae_cpu -DHAVE_CONFIG_H -DOS_linux -DCPU_sparc -D_GNU_SOURCE -DDIRECT_ADDRESSING -DFPU_IEEE -DDATADIR=\"/usr/share/aranym\" -g -Wall -Wtraditional -Winline -O3 -I/usr/include/SDL -D_REENTRANT -c ../uae_cpu/fpu/fpu_ieee.cpp -o obj/fpu_ieee.o | ../uae_cpu/fpu/fpu_ieee.cpp: In function `int get_fp_value(unsigned int, short | unsigned int, fpu_register&)': | ../uae_cpu/fpu/fpu_ieee.cpp:710: Internal compiler error in gen_reg_rtx, at | emit-rtl.c:662 | Please submit a full bug report, | with preprocessed source if appropriate. | See for instructions. A complete build log can be found at http://buildd.debian.org/build.php?arch=sparc&pkg=aranym&ver=0.7.0-1 >How-To-Repeat: >Fix: >Release-Note: >Audit-Trail: >Unformatted: ----gnatsweb-attachment---- Content-Type: application/gzip; name="fpu_ieee.ii.gz" Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="fpu_ieee.ii.gz" H4sICDUuOD4CA2ZwdV9pZWVlLmlpAO29e3vbNrI4/L8/Bds+pys5TipRsixHm57HseXEG9/WspN2 88vLh5Yom40kqiTlS1ufz/5icCFxJUFZTtpz2mc3FoHBYGYwGAxug++cpvPtixc/LPzAG84XP4zJ /70wCIIXw/n827XvAOKfl4twkj4PZz+yhGE0nfqzkTMJZwFLLMHT2bapKrlPRsE8eXH9rdNEKa0G n0RAhtFsHF5lEGIhFyV1u2qhHxZJ/EM4G04Wo+CHxSxM0hHG4LRQvtsxArQ0xceBny7iIOEQbBfB 6HAg+n4YjoKxgMQtQOJimFazXbGiq9nihyRdXHIVtZqbpRW5W0aRAMBacJcG8cz5dvdb53eodWuz kggvwzT5YR4l4Z0XzdOctOZWp7jeNROyYHYTxtFsGsxSXqYtG1gjhbdRPErC3wIOYdsGIZFhs9so ZAZBbDeqiy29nwu61y0EqsLbdiEmIv8c2yS8/OFqOHwOf5O5H8Ov2eLuh9YL94WbK3o6QmrOVdJY tnxrDUhBH85iloRXs2DkhLPUAR68tAd67VrQr+AYXvux43kLD3701PzkOopTXBMA4a+enhLIR381 uZNodpWBwEePo0SkA0F1PR0ORqcMoCET/dvsaJEIzChgAi/o35brFfCaAcB/ngcWAUFEM89zJISY fU4G6N9OG0rqS4li42WXFSyoUy7068IfLVNXVhBp/LJ9DEMg/eS6a9Oql5WSNwpuCttmVJB7ZcgV Wigyg4hwpEEMVU2jUVCQPUM9/jOfz6GOxmMlR8wWaybJc5G3JI0Xw9T5nebe+JOP7qee84B+jxMR lMM9nETDz2Uiiifh1EpGAFgoJCMdaTgtkt4iCZAvNEpUKSR8lpQ38kej2FBhcns5+ayi+xzck04n JmMxEeLlLKA8FjO4alAlzGqvGSGGs7So/QkEswZFDTVOVGzGxmLAdojH4cQeMQHO7ZfUZEwiLDlT cfRrInUGZtWcdfRLbk88UKCMIcuQq0L/ztPYwB+lBrXsJJhR87fdLHHKcrooG07CN/AKnIZGmVvI E4ENnHPFVJPPwpbRWeRZQi6TOBW3MVNtRL43OkLvE4CweXLmfPV5JmsXh28gESRrF0doIbdT5EdS Xx1a1h8OgyRxakg7olmSZroy86fBBuu7qLa6k17H0a1TqxMaOBQBEt4yaMC/bJVTmct5kgTBZ6dG u89oQ+gR6FcSpKyu2+tgNuRqQ0l+MkXDfO3bb51vMaJO+1tMRKvhViai016OjJ4kOmQwkyBHpQdk PSgO/BFf7U0UjkDGl4vxBnV6YQS9vE+DRMQkIbqNwzQQGSCtZsAoNlrLtWg0VtW8CtE6SZqaECMm TajwN6/KYPXacQ2ZBrUbFSUi6k8hVWuO4b8iao1CkRW3UCzmuksrl23EPJxnDQK/R0GC/C5JsToW HVEYlvyJH0+dmjxUEVsrId9qVkSeTIJgboNcYFY0+5RAIRE7nYtgw5FSEf4gRll1InWTyVhQuqTS CxNhfCP4C7A20NoFJuk6up2phhz5KoRkPF6hRr+dBfFGNrSiv3G0mJfQPqbIeQ0sQWgediYrp1QU wyiMVeRzP72uF/GHS+ns+XdO28ZwkoqugnR4i+wmq1bsmPDD1M5ZeW+4iOMA+aKIIjwMaxteU1Cq t6AFRou5aewSoVy+ydkvV1uC1IyqpitoPTknT5fdkLtgeBPom2wj94FxHjLm8dXNx09aCyeDohrn Hz8VyGHMqs6ZNFRnwl2ijBh/Nc7MSgrIJkY5yakI24bz4sWLEnyrRXczN3VrK2YV6uZmK2FFHo9w Fg6zlkb92ACJR1QvuAtTBpukfrpIsB+RpnF4uUgD8CYQYbMoDtJFPPO8el2ZHglrQrDRAV2Zmwdt lsEhyzJbTJGC/Y6VzDvd9Q4Pjt95Rzs/baxlSejL2905PjmW0g6OTy/OubTjnaO+VPR05/ytnHRw 2vdeX+xzSbtvTz4ce2f9wfnZwe55f4/HeeKdn10c73JJ7/cOBjuvD/tc0uDn413v4IRL2VGTTs8O TsSUwcku5lWkZv/gsD84+E//9cH5gEs+6yN8x7tn3k/7/TMPAKRMEIkx7+DYmIfTdw4P3vDy3Tk8 PNnF0FBWYPWINREkPmDzKDTiAJU+e8NLfQAiPjjck9MO33nnu++4lOM3ZycXpwMJ7uS0fywloZbq 7xxJief/kTUAJf7r5LW3e3J8fnZyyJffed/f8w72Blwawnh4foAQDJAodg75LGi6s4Pzn9Hvt/29 CySAN3y9qNAZD44b/+3ZyfHJxYBr8RwREuuemMFKqDn7kMV9H+2cniIYrCV8cv8Itdg7NcU72zl+ 0xfTT85+RqScnPd3zw/yboXzBoOdN33UbwYDkclBH1X89uRMqHTwducM0UIxnrz+F0IoCAJp/OHB AFUitcqONgX3kL3+4fmOlInSdn7GYpYyjv6t0w6UilGJqe9RI4ncniJWaYfIteAcNb+sbf0j7xj9 M9Ckv985vJB1DmH490VfSeYZ4Gp8jf63M5CBUerewZGaONjdOdTAguk6VjrdyeGh96F/8ObtuUx6 /98XB+9RD0TtLOf8dHrmHSNbKKUjpZfrPet7exenSk/fOSN4ud6Y5bqaZnA9xMLB8Z6UtNd/L6Ts n5yd6xORgRYSBx8UONQTkNz2+vs8MacHBxvCl/fTuZwCNrp/LiUeHJ/3z46V5JOBUBpJX1CWQ9Q7 uIQLoqEHJzyl6AsSnVdmEJ4AaghNuXtvzpRMRCRSDKGbZsmH2mQewzmjcINX4fO3iArBmJIUZGf3 +94+Gj/BzvD5b/rnb868MzrCCAqEsk4/6LMOT96ggUxj5c+RbdYlEyr2YGS/2D0/OfMOkGR2ZGIo 2Lv+zwM9gsH5DozUB8dqFmVdX27n/PyMFN7Z2zsryufH5Ty/ZNzJgVCTv+0jSEMutfW63JNdZPKp Ief7xjHNOjkbwPi5v6HPOjk+FCzqWyRCbFZ50/5en3ze/+lAtjKnuUHK0n7CJl61GyT9p90LY97F 8cFPSuLu2c+n50pq//itd9DsqjgGb49EAwYGzkNqdCTZK51huzjtq5z8dPrG3dAktnSJbb48rvq1 0Mw4SbXAkrIiiyAB4RQB5vAEDSAi8g8nZ3tS0tFr71AZcI//0z8TvBlN3x1oKB1oSB28PZNpJUkC 1IUG24XK5QVmSkrjasg5OASnVeLq0DtEvpOSeDRQ046VlEH/XElDGn8uK/frwaZ3cHjacr2T/f2W oBhC1uuDN3Le4WmnDVmdtpqDwPNSklod9t/s7P6saBtzgI0ZnJ3Pe/He+4MBeH8Hx/u8CrzeOTs7 EN1i8HJ4NcXS9QYXp6doEDdmeGfClAE8WjKUin1tF80FRdqpiVMzkG9wsNsXvWyaNjjt7x7sH+ya cwRy9pHv++aIp33/QJACTDaFXOS7gdE/eH1xLhhDnAPMiSYeJw9+Hpz3eWtzhGYW52iuQOXB51yg hmJWXfBIj98gTGoG8hJQJ5dqBbkhT/XDGYyWmCoB1ykag+XEs/4b5DjKCRqbOHjbF90iZbI1ON35 IJRAWrCzdwAe1BnCpxmdjQBEct7ezvmOpHxSjtCqoC4nF4IrdP7zadE85wLV7JG5qz5VwO96p68H 8re3s7t7cnF8LrYEyQLn9byvJNPJmpJ+frYjKAVM2U9OTrVTaJUO5Gnsvjs9QbaU7+bvOwYjxWeI JgrlaA0UTtebp7cnaNqh8+MQR3352+u/7yODj7rIuagVOFPjEOF05EJm6xbK0sXuAK8YcfMzlHK4 j4Syf7jzZoC88maj0dgQ8w73cKacevBaTTo+14AiEe3qk7WYIV3BjRNz7Bz18vjCsdIUWFEA1dpV EJEQTb7KsTKqqdyrIGW0YJgiYgiAgZpcTQ20cAAGSngIDR1CtpGKvFMY6eBAjJTwMFpaBABea7JO gKZ9P0l9XiVJC6VSpQcTCTPAqJJSAbXS0oPZ0KaRmgmogLoijdIBFVBm1iw9SCFVRRqmByukzKxp JiCdtiGoDwd752+5FXg0HXs/oHb6O2ezUXaki+5sZCfYYIMHNhpMWz/0QKc/DbQbfRmecY5I3iQs L5zcJ3xRsYBmrwUTmm20sCMSZGMVECVpzOPKN5zEHdhJMDNs/LATXVdBin7o9lw1gAZILexVPFdh Ufu1OjanmOZsDxwwQa150lyqXld3cQl5qyzRFtngyIBsiQ+bQyAZcoMsug17WSA8iUH8qgSSMgHk p0BYkUWJFmSAQRnkFYO8sgUMrkoUCwQJCOFERJLtVCIt589LTJDsijaUcWlvGkwvA3xiIjtnUa4e CyJPdlRjUUgogo8DqUS8CIVTJEEpiqC8TrkI1eECvmQqpRLxFaGSfQelKILyOqU2jINE4GydCCMX zrosrXU4Fo7w9rTIBALWCc05D+syU4DsSoMsUSmTCVNbUUtWopIlU6VKOqdJ7c/jKP5cciiGgd4U wArHU9L0npy1KT7SCbkU1It150bE4QZ9qSMOhypM0Dh3b6xUrjaZRGmpWYCLGJpjE3E0VY9NpJGZ tuR++ihUPC44OqlHhjQDDfRDbJSFUzFcBshUf6KxYFznT97N9HUbvRRM8rTiOTKmcukwG+1Lj1il w0SGFUfZeO6Zhqrs3NckugpnNqMFBgS1FQ955+dGQavNHhLty7S6MmF+52y122VXIhFRwqXRVpdP a8l3U9tb2mxCAkr14yuouNPUggH9KC2cjRS2UHIQxz01Ff0P35vYkmp+wPxtll9lkNvgOkpSYmgM jaCRv9wCOQ79qX27XkERgVnmr4GVDVejaOqHsyosiHXy5SuTLxBzc+3PrhbzAo+KmJ6b6HOgP0dW cvQ5jhAQd5A4v3IJg6Y/nU8CsE1jOKUqnPHUWqsMgt00kA8oD/1JUMSvP0TW0MKIKQZikQRxch1M Jqqo+FNvwWxkCZkU4lROm/rBNMoOD88ifNY2mylG+CpFocoPUVaUVrHFGetzX3e5BbXsdJ4W0TxO 7mfDgnFZmc9e5b3JqI5EdhhxubGe+1f4wqkMWzo3lt2GeDEb+mlgPknJXU4LZle8SMW7EwwTu7xh qgmuKlSvS4dxnBOvv7RTTPBYR7EOvflWkIFKySSm/uVE21g9HMOibTcxvmSeKj7QOhrFpmoJTILh s5tmcJF4kvpi3duNzfK6hTUZH3o0f4/0PplFytFahFm5SG3gCm6WalaIhlNV0sZbX4DC0IY0r2oF mIXNjh0L45Gf+oJBCCejIDHrLtH6YXw/11itz8G96j0n/iTVmzJqmimybJoBfDNWg9F44l8ZbRQx O7f+ZU4L0zLOySZevSYjjXTDWaK/ZaaVA/o9DbnLCdINn2aj0bRriDkqhmYSyADm0zqnhg16MPdj NIqQ/ldw/8lx8kI+XLOoVmZ4jZqeFREZB5ewuy2FsNEeCYeIMXCqnPN7WwUgupgEcCs7L77VNmSL N3rJJXSH3UXHtLXaBTUzLzZHgYMVODRkwXfOZrOQbv5CLI4u4NBoBBJWElvAYSEGxEwaWcDJIgwg MXcsq81vgDv5zXEJf3aF3ZEuswuTD9PJfqkdO0UwrTUaxAAS8o1VKlQ0hKMfvXyDMhMaykE/eyyZ Sgulwq8eTWViQsn4J0snSzMoFf1gaVcs7SpPy4iIMRWQqI01MvdHbi+nkdlXVBBklxGZSxXl0A+e N65lMAAyZ0lWmCoqyvDhp0QNH9pjhvzQUbOnlJvalHPVckObcq0ygHYZwGaPbbNzGtFpF+mEXiX+ PBphUIdCbShShf9jmoCHwRJTDNETtgstHz/VRomFy23EJef0T111MzlkRFu/Va9RkkpzV0zCXoBy LOCUVipwVjkz2lk337+KOOwpjIhepYJIKg0Rylq2jTN5ytaZ5KKEKGduJaKWlXMVQavze2S2zHNG at3IjyI8k8cgEm8DYzz8lNCKiAxsMfUTvOCcF0MJxk1iCgVLNaRg8U3v6Wfj0nQleU0/z3Ti0uLZ yAYE/Lfs4uv08zgcR0vQCGEqGrYaixrnjjc5N0G2rkSmaEoPgr9FfYdhlAJUEJxqXTpNo4uYVevl qxVqnTxptZM73lq0WltVZZ9byWLpE6OQESTrjypoCbGRZ8O8zVy1qdbJKqq1q1dil3bEgmr1fXLN EOKDdNR10lNNRicEpxCWEM3eAq61aF6s0zeB1TXwZ8kt6bwDtTacnCNWpIcm0CbqJsuSV5G+ybIE ljk+JfWO+XrJeGNTayX7XSwn0bibmo9W2OTFQwaH70lBQm2hlukcDIuG1PerMl2DypbTtmXJrEzn ZHlCbbxUK83LatfpHlnZgoBf8sqWeXVLDmy7XQQjbfPKwdhwNFdHDuqaRXB1lEiuNHirI4VwZQFb HRa3VQnBx8KE8iVoVh5DNM8kYScdFn1SJJvE+XNYuL/vnM2tYhlo18pIdDqYG25alwaaQhbutNmx LUeDSTpKUMks/KDDhSEUeMVBJR0aWhJVqp0HFYZYFZczN7tWy5k0tqeTxfjE6qiE3s4LS0WxpLjI lyDmtkVhGhHTySJjAsvtIpa50wBcAEAuDFQixxl8dJzFZrtrQZE5JuZC7COahZ9FUQDlBe56uoCT BgXEp6FwPGTNBiceyTwwyP8+gH3Oek8uB9GPCwu+NRSEuMeFBQeGgnRXyVxwjxU0hOZceMvwq6Ko zrqKo7oUVBwVBMKLEs5cJmkQlxSGGOe0OBpPmpUtTDAbhf6MW6nfMgK0zIHpJRxdIw66XNe0MAuG /aFgEgz5nYViICPNCh63EI+JJIIrvEqCtCwkfw7VkgPjhleohaNpOJTHS+K2ZMvfuuVSCPhcazbc tvODU+s66/g8TTTmjucwYKQkn/CqKqkSEUODym9asM4baFrWyZEYXB5x+FIH3XwUof4ZJCTzYMgt +LPNuRuIVEhWjTPuUeKMpuKl4rYFJ6Y45gKpna0iGIFcJP9iasURDeUsBJK3SklGA/9miZJr4kuP R3htrafjxlScU7dsZ4XiccaIfJDCR52uZWBYxeh21gMqg8SgifGb48xo3N4uoVH1hcUDdACZnaVC xG7Q2qUlV9gnh1zddEVbAEcZrVQiuBsG89RURFQd+UwBSo0WhSew5ithdQlel2HWIRNFqXfrmS5C wBkdsSxKVleQH/AQs7X0EHOfTP1hHBU9sqLAPeaBFQUZHSW1C74CC4CsazuT4QO/O3zIeLFvclHc HT7+u3o8QDxCID4AYKhOBdLUxj2AYXpXhxx4kafTLRtYs0swvA64J5O6rUKgbBCAE6ooDY7N+Pm1 cHZ2FefEYYR61n1m9PVP7MiEYh0VPQXqJeQTO0YDOwE09pMUcvFqBn9cDQdrBAIkJJA3D2fwgfA9 9FTvI0c+CpJh7NHUdTFZ0o9MNvnRpBQVxWSx5z1Sf3gNdOHdZE5e82gSDrGwdBLOoOAjLxnOrgMk Y5zF44vmGH12Kutq4ZP+yAEhQj7jKTwerJzssFeWIWDAqQTDgyOwl2qkhzk2tRLMuD0iekcSM866 9cM0hOlmXhH4EeaKqGgX0+m9XCinUDtVYaDZQxhFFUzBBAfxDS/qKaplMUu1nExJzGIe+DO+blAo mikVTc7HFM2A7sq4x0AEvVRS00g869FsGOgd8Jy8+JZ0m7JmjW+zdqVPpNzi8TiIE62EUDYefGNT Lv7O9KEAAw+UVc2knSXMk2s/DgQRMdaKpQswIjYjKk7cGb6baOKn4SQQBM8MkKie7GGbYkFf+pKg UUIc/LoIY145UeIcVNagnyhb09Uu/TgO5TdeOKqM7NOCPP8FK0qsFH3mwsJtecBLcs0qC8/wlmHB a4A8SOvxb5lsFaAnbov29IWOAmGEFLlot0qqUQ4roqlQcb3CQXIHpc/Fk+fiJRy2qzuPo+yBDDhx nOQxwau8wQDVsQ3nraY9naScNaH6jZolqFcPNyxmJRIrKgzE4YmNHR9FqPK7JMV4MLtFiPzRTche GChGBZBFD6OQlyAfi1AgDltA5eh6mdDF9loOh0oHvh5hFqpaqV92Q4rqdhwUqVQ0GRGZ5afug1uc UqTlU//em0Y3xZerpqjnRTHXVMjvi1O5rcS3C9fhlMJQczv0GnlA82BW/JxQBJLbcMQjQD0VU+G1 3R4bHqxP3GNHmjPebhFM9cFhEk5D/r3S5rLFlxiX7hOx9uW2kBQOsrXix7BgxK7cDi4un78z2/QQ JP8MY6cc1IgR9VF/IiLUH+IXAPUMgkhkNltbpcjoA7LuVjkbFFRZ/81rLX5aF2NzJfm1t5fEdgd9 XZJduxTQvC6RjsJIQrdZio6KRN9odhWrS1dNfbPpKt5sFUqP3w6v2o1I+e7ytoBp1qPq164JZobS sNdAEAsmV6tHyC2UDXOzEKalR/Ic86OgcotQ0T2HYspd/WsdigyA7pbtUDSFFYSyaQqDMb05HkbD dFKy2prBKPsKhq6ACyRlj2TnUIbmUPA0i4GKW1VEZtQjSSDNQhiLGkv0KIPR65GOfLcEW1KgcZLg 3YLRpViuRMHh0clQWPovhTO1tYKqWQJVLHsVnVuMztX7cwr9rn7TXitYt1vUmwp3yTHUc6un3SXQ bKn9NpzBeKTuh+enb24TD7n0vYLsYTQpyr6bh3dBIcR9BsHfVMOXeaMi0oZeCB5+z5wfleQPS/In mnw8O0BZ4SzQ5wyHH7ufemt4Y6LVLG/egtON6f0oGPuLieDodW1Q8rGfII8/u6l9mjr4dREkqfq2 1YNx/YmNG3wIGgjkC+11NPDO9k6OD3+GELc4IiVKOT4ZXBzsoRQ3T9nrv0cJ7Tyh/1N/F6V0WQr3 ig/g6rD0s/4RRGpGaa0M3dHO8R7ExEaJNNjxgMTPhpJul6bA0z3HmIzNDk06ODq6OIfnpFDqZpMj bwfCUOOIwy5H497BGctwG+2MVHg+BZhpbAPeBxKToXjEzQUGQZRPznZxZXTfSBpJ+bk0YFAnrLAX HCJ/X/90GQRDWdOdyJYuHiRgJwxacoun1AVY2OQeIhkY10kWFvSXFHbLuDetneDJPOxl26/1wp7a zTgp8aM4qFbRJW4R03YJmHCVG6UVHF0ae5f5VVhd9jgm+d857WZptfSwirDnLN2ZlTPHcRCY8vwb P5zkmfwmNW6wxJQnIhXzKFIzwwlcMua2j8f0MmxRGdXic7mwJDT173oCTnAngo+dT7q71kie3HXr RzTaX6g9/mzNwY1Lg3N5XIJY99m4hI9ZbbBUfmzCCcLYhFK0YxN+s0sZh87VgehcMxKda4ciXLky FBEaxaFojY477laxhaKbRt0yO6Y5JCZfmEaw1S4YQ4FKN8BxHyLbOJ1NS4o56pa+aew4ckcuvm78 nbNVhbxxJj3jXUYqqrLr7JmANOHFchkU1aK9aU4HS5ghli83LMQDn2rUpvywp6pUZSddleW5DBt1 Pik3kIyYUM+P+lyUhSxxGo1Y6oMSyhNyDDe8C9wfkQ5WAleocUNcqz0FMhFKCtaNOQhVuqbzuTJW /QqMgFrZcycznqFnup2BXLJgZMxN8fRLOHdFq8sakIGIcz0uNdKmDrWp3GwOaBYmcTQBzd2aW5/y w8QFbUFN6LZFW1AxOMMxhPbEX7lqiZzjCLfkpzfX+sACsvCRyPA0F3ahGVUFCDayqrGvHYyKMYaP xqigfDxGMSYuEiCcKdHcaywXoBJdV8ZE9yDnaRjN0KwELBBqItNIU7kBxX3d4Xjqfw5i/7ZQOsUc zEaXceB/VnkYLWIfiC+ILjyK/XBmFdMadcdFcq1W8usiWAQeOYsdxcUIIEMuT+Rb8jwCbnIcot8U cLPCQkyz0Sq2DtTKt1r2Vl7aGSov5k9gi6/gue8cYAXnkDpG5JhfdWAXzh4QaKShfAhb5cwAllm7 acP8GM09JgWT6SzfelSUMW4WAlU5o94pxMT2+NyS+mjnHuMjyWyQzFcsJx4MtD0l9fY6mA2FsGjs FNKEHMPoKcmTYJYFziKdZwJ/ZdxeNoUT576YQm7ma09jFfqqkqfZN5aaoNMo1SESuWcmrqlysUCV EKadbSuc+gMt/GoWPdAiVCBOBwCHYSpAsqpXoI2DqOdgiMaPtHJYJJEDjMPAAs1bIvCS7ua0ngdy rmzMzpUVndwznzNLjOwJ6E0BjiWgSlQUho3QU9jTmp5i8WBTnlYSUJlIKMoyoWRglerWhJF6YCdc 7PbSpfntVgFIeeQB7bafMKe1ulbZapSgQSDbhYRKx3k3i9ni7p39Fs0C4XJO+ps3RT5EGiS3QZL2 +PRRkvITbenoN8OmucUGyd48pcEPtlo2rNBY2fgS3Hjk3+cesf6G4E1Bl5GpgITfil+t4OqVffq8 /pJKlWJMPGrlQtz+0S/iqoVaMQ7dXRhXjwOOJiMl1DdbTYUbQiQuxe11OLwmV1wBzQGsRp7h14qd V06DPSxKk98fnJ1f4Jxm9voczTo9O9kna7BsOYZSROph14LXNLSGEKQ0xTA9EwD6s+CWetbkm2A8 N1JgXqpRBAKkK8LCPU/4VbKImLGBT7UCNcWKtGx1UuML1XJ6PwtuqxDMlYwmRbNqvHCWmIdorWZC j5h/dD/pzDQfd3HVyHmPjuEmgdjLsYnDZ9cttE0P7PyjMNaULns2jPf7dQvzj53eKUOFtMhqNSQp l0jE4Ug9fcrH3GGynmYXpNIpu/hPv9AYw31dR4uYz0R2l/+MeNj7wOdhb0XYe/EzTEYJtgJ8dISp dzVNkXtBrn3yKoiywEaT3pBZGMmECYEY5Cvcgg0zAIg2jK3gh1fBTUCj4Gx1CqSbRcvn4xkVPCPC hXF3avRjnYYl0hYYRYvLSeCMwvFYKETKNDcc4bshdciyB0dEqqafSRWZymDS5qbxMYsKHVPSNDtB CeczTv074wM72mMT/I5SFE/9tLyoQDrvksyLn5BDgHP99oTAzNoSlE/TDUeWqC4Cq8YW3OGz5kU+ LgfRUi82k0wvuyCZX1OkOXCkBEgin8nHZusT6aCEHf3rSUOox7vsZWBCehpNoltyR1Sbu5jPg5iv hL8aQil4yGmiVw6bbpEd1SukN3m8Sj5KKW3Usrg0kwH5qYtCKqovsLyUAldW4UJMBWQLBiRHeTUV e1+hadSUxzVWQWEmwot1OKRZVGwVWrXcDBVwsgo6qpAiqZSfDPUzH+2oID0aU6kh9BXz7C/TffRv UZZR/Tih29Qp1QoTULB8yP2VQhoj52kSXl2nPeWtp3wKrUHIoZM2C9LfIDROSXxyc61ineKhEEN7 w/qzFCC8sVXmf3Mu0tW0xBlRi+DuY1cKs3tPnv4iYgV3ttJrbRymmT+LkkkQzLVrBXlIIXwmGLZT w9L412JBeHoxnF0V7OdiH5Q8JIxGvixMJou2GXJ7sWKUI/OuM0NJHTxbnAUSJ+WSYpQFEtR7T0oF XHPoq+Avk68Vh1cuak27cUBsyHJxD+cLSrVTE15xz3lZz5kp2pDHyxx4kd8k7LXScMh0NiRH1bop cgJYoFWN9Sx48JOUGgWTIKVTndizL5cplVLQurm1Kz2aeGB42liEqAxBpFmuUhi6KmBIWwFBWrgo k2GOboI4XsxKxAz3lLplJpu9pYiUzGNv8WodLAKjOWeOxCKaNlg6aVWpNy72fHEFZfZ2qkSnS9D4 Pdcc4NtsqOtOm8JalGY6Nwrh0bqC2VwO0CoNCsLDGlaRlPpaxVDZZgRJYjEWpeerRvzrVWyLaOTh NRzTtZ8REuUw214Wz7qNuM1rmkA8mE31+DchTHhsy4asp6EKNUrL2ChkaW67sIXhBDVjZO/cuzh+ d3zy4Zgu8dPE/YP9k+xgNU7ZfXuW3ffBCXsHZ9mZapzw+hAfk84TzvpvsjPWOOHw+B0+9pynDMjZ 6iaH98NbuArUbK9lr1wVKqyy+oAy0e/AnzqIQn6UBoLXYe9c+xSO+VFkOkQmAS6HseBa5tLCsXKA UNOvBIVy1iG6kRmruLlKYTUb6pKWZmhhY9VMbsfCDJBoyxiZl1PJmSrAuyFzJY7XszS+V0AUg7eY pKWMezE5Ot7c2q5EOpQ0Er9WMpTmUtWwZV+4mGH1GGAc3IazUZHGqe+1BsFnucAGP32bR3qXO59r BZOJbZXYkoXxeFSgY2qYTv3wseQZNrdZYgfV59UMipIMfb1VELVFVWNpJw7ZkEmY0IhX8PhrfgpS np4xFPUceDid1+WIQxvSfby68XoFYSF/xG2rEuslVysMNw71qm4Qypr5JIuNqAB1vQxJRRFiSW1a WhN/Mr/2ExjFlahQQXNDuTcZuKamyhBBY2mm+/NFHJDZPpw2rEod35Il9JXu2giUdBt2lCAHP4ED 0iuQFIfKRlbb1Sl8Kmm1lOdXNLQgpad7AjCdwPlxGCT8cSj92h4f1evyPoVbQLn3KUH7SWD0KYRq sxfdlGD09qSLZ7kMxJetHTuOwp5FEUsB9PKjyR3NbApmnfJsCmatczKhobf2sxR5vgRWV8kkYkBp fnyF/femBogc7Exx/FDlvGfKprdiKvofDhDf5vFRo0muK5DdN96sgznO4tADHmQ1PEwY+VxnV2tw R4EtbOKEQ9AiLdEkkbyTRNse8A1Z85PK10lqwWkpaWoO0yWENykrILBLNvBmV7gg2wKEBCRW8e0+ jmovmk3uH0X6cvQvyYT4EiDlAx84mPmTvwgbJdhYPF3aNtTpF7rWA5sHSB3YbQh3NAwX3eCtoW5+ l43PIjmmV4wW+PUcriSb1UPimiGeLXvdqOVq3hIifvJ2MdG4c5GXyPCzOT3xWQvmwEOGRAOuA/+Z p7FQPUpmqXAItqmngJb3g6FQHosKLAVZroBBoy0ggNuo4dAhj88xNKMI9NWbBlPcuDWavO7Xnd9x kzjrl2juX6O/636PPTtXu/zY+OT885/Ir607f8BnE382O/TTxZ9d+Lr82PrUeyilAG521Eh7VqKA 1tFU68C+AqphvtDxuMFouDHXhet45dw4P/6IOO3hatg30jAH80m/uz3MKXyWUiLyWpWSbk5I7wEv zbas2hoP3bf+3Gu5tazGrOf/nkm2VqMM153vncbdeIzblCR2WVqjwVIZTK4NWSIA4Saq95wHcmqr hLRmx4a0rkwZRwOt7DtnC7kTL178gPqKN5wvfhiT/3thEAQvhvM5PpKuLpkOnz2Dee4PQxzLMDt7 1y0BaxXh4ufQeLEVZSALPQ6vCsIu2hVuVak2SjxkLJDkk4IL4Hb10ohpjRKxuIUEDskSQibkrTK4 1ipe1dtasnxLCsUfw2k8cjBJWWpUKMcHlPDZprk/DNDIPMIHMRdJiIaKly85bHkicbp7eGzdbFpI ehnh8Dcjs2OqFUsXXQJcrpWyyLIVKaFq2VqWD/U5hYMTb//gsO/AP9kJva3mshWY0HseqeA7p7s0 bl2gwEuhfV1Tvq6w98aTjZTyGJ4A8/glxJbbfHTnFNy823BGn45rbhaR7uoDNRjZYwC34Hhxats1 5T9eNoqNyXAX3WqSCNxsFiHRbGVxDMj+tPLgRvaqymIGU122p0XaAC5XDK+5nTT8ffmxnT30R89g w8/pJX5th576LG05HUl4+cGjy+zkWHmGlb4yRCp7440RBB9KQ8ZCb79Z4WHP/bYrq9IVyr4p2BXO 8v/UeliiQpqr5BlfckjOZU1IMX4+ZpXnvdk9OX7vnbwju615yvEJ/BFT9l6L30f9I7xLylL6R6fn P3sHx6cX5zzg/sXhoXdycS4lHxwe9t/sHKrwB8e7J0enh/3zPsvTlNrrD3bPDk7PT87Eouf9s2OU 3T87OzmjtyUUbg8G3uHOADZ1G3eNRqMpYHhzfHLWJ+UHDMJdE26NeR4WKVL9YN7TJeLz5ErOJPJH wciLLn8JhqmSm8b+LKEFpRtksI9AgMbDtJ4d6uNrhE0FEyEoz+pgl/Q+ArdNIeUUo1PR0LXTdbzo gv8RXhSm5jHn8jKNbofFvAqVCNhEgYWzMC3CxL0GjKeoeclgNiopaKyUNGUR/TYLzubWZNtIVmvQ S7RgiVo8otqlkDH1KWhmInH0M0V+OJW8vNe2hAhWIEVDr1AkUcrcr4sgvqesifuicjjW9QqEWcuW 60hEsKhavlJzRyLl8+5E9zx7a2YLSDYJlO4EJ/PY754CwLV+BsilqQUoSRkw/eZfFSTmWOmMOaX4 oA6qoWceIYTn53RDAVnWRoO8d+3PRpNAvQKIg07QfRLB18Q3iPLz9uM4mnoELk9MIy8ryo0jsCMm SiUzu7BHxX7zAEwH8GmzUJFqLs9Mkms5vdNwhiQVANtAJve+oH9nyMhLpJEWHpLX+Lch02C8IPe0 xRY0Ng5TN0d9HShapJeLcc+cFdCdMeFBLiElnN1AQAk0F+Cai88meyTwyjRtntyzXqcMzY0u99pa mWbir57hpdCsUccRUdFshxMEk2jUngxenpflw5PCaTCDrWvPM49YpBWcj+xGGyVV+wq4MrXJZ7Vk 99DRMQD9gXuiUr4JqwV1yghm07JhNL0MZ+TZQEReyKgXb/m/8fBqtpdq9uFx7BgP7PbbA9iPr/c0 ZVtuSdmBXFaY6CMki6Uo0GGxpwXNN1qmNRVpLapwzcV6ruPHV5ob4VWL828tXy7CSYpszY3vwbEg 0IfZYsg+gcXNdjGLzU7BuhK30PXLYjpHmiOvfZHdxAIUwsgKpbjXP9f4CqZ+/DmIhXc489R1OlI5 ytrbupdgU5fbJjzVR1Rtb5qpIoHkScCOIdKM4U1KzxHS2RZLjD5vCN+ofdLQn4iJQRxHsZg0i6Cr sTvvHNEUAFeTeRus1AhOWnCedw6PvQ7H0ZIsoog8/LK7FkcVr8+RbHrFssQHwMsHX7v0+sYSTm92 FZAVrtAAyCxdh+MVNYLaEMtx8yiOwtlKmHmEQomzBuFraTwI0ZIqlhfj1KzOHD1JeMEMfeC7MeaO rSnmT279+4RakoplJ8HsKr02tVm1diiQPHW8TFSAu1tISZ24jpljgh0cj4QykVORVaPuIIfpNhwF uR+cNQVk4ceO8TkLXUZAnpZWM+CEm5JDXrwuyNLVQ3J0FaEBS48MMohrLmUk/o2h/kt/+Hkxp3na Ygyh4IhDJnHF1YyJn6R5rqbd2A+hQnK8CXEApznWxILEeUA04fa6Sf1LmCrKjYnH82xHIp+S0Anh uiM3q5RKRS2lMqnlyWJryukKbqEd82S+EcVUJnA65ZKbL08W2k6BZ2h03hD5kcj51CNCiMJZPt+G MFCziHeRsGxdqhV0qwXeuCUxAimkdFrLGy5ieNRqMcXdk59W0hbNirNNIlEjcG2ZA4jIJA+qw3Gn ptlTE7Zxcvq0ermeK6aQn1kJpoHZUjUTCMwO8jaj0VHdj81NZx3buGiMDwPWnefIbc6T6HoQcPeg erTQGt58skh68u0iIRd/uV7Tg93gmdezhUUG0R4YuagelrVy6kPjq+fnkdFEPyLdaDzLnygeRtHn MMiPJOsOUdeVI3wSVtKtdGjlBSS7Y855/WrVdM0i8uBaj6FO7sAzmkGw28a3yuoixoOvsGkQ0apz ljnxEQj6uZjhwNz8zqUoFQpNv03gjB8KTT5NwBnRFJp+c+CGzViOCXwdrsdSM2rX8a8snZG1Dj+y 1Kz+dfwLr0ng3oupQQCMFGFD1wjiGAqKsy0MAhawJ93w4vHOwlRyULJiuG35YMBYEpjdEqVUVMzI iIeEkoj31jSvm0HQZtSPSZz33Nrzp/FFaGtIuEYuA5O9LrVEfiDAlhquRCVoPVUkX7x11C46G8Nz ivBAZAYeI5L93CQYBDRfADhdtt5wrMuN8fhQARyWEIoKKIShnjXEQyh+6MJcbk2j9zgMOdbu4sbI CyxmlkUyjtL4vqwIarmOfcvdjOF6nSBVJ7+cIs5M+IyCgHP8Yll2rJ4VLGDuZjyP0W+phfWk2FAi kaKpmRs8ofH90UzprBs5kAYBVzpBfUAqzjYc1eI5AZkXBiiQ9qFvEUkGwm2W6ziREaHhtgiRjppc MeMgYH60j0yzSdXWZPtCTMGtjS3giiBzAEWyOY93W8kogPMpV8jGFTU2+2aRX6xo5K1N78hmh84S almth9zadJF8tmpDTtVucqv2E9KWxV1FVK5bK+3C53y77vIHX0W3kWcTXNooZV8eduOzte0lDsly 9eTH3hx2jq4gmx2PW+IUtvmgKn1iE0Eg9vi347NjcMsJUz8vgjCLI5gbF2TjhaaC/Dw6zpoYH2GK PBZ9EGYlHoZQDnLVcmg+rk6FZsGtJvZeho/QmE7nZNQVw8SJt1UpkCYCBgvdO0d05dE3E308D6FG uLCqCU2nxQxRJLS44dqZ+8ij7LSigPKge/JXEe18fFd+K3mK31nQR48b41mNU2O2jgROMTX7mD74 VAC9pingEUcMfD77kpgwRLm+dSC0Q+eRAie0jPVvtUivSoK6bxTFKoWRMDG+7Mi/5SLWHgcrrH/D kccsRxW1RBohII8a0V3eSKtytXivE3O2Vjk2rCTvnlasj6y+nABzSYuG6KlWcDwi2sC/hqIcTJLj JmolTybt+VIPT7l/FQ7ZDH+5CMQlzDuF6wY0QR+KhrIwRQMTlgSjP5Ff6LESjIAUEMJlSBocilpy JToBMlUliyTsEJ/YuiixKOgwjdADS8u5GVS0Y8Mulqv4vMTNcjj1XOaOPS/yWUngIWBrDE9crIgK fm1UfVpOqhuudgoi0Pc0YXhhjr6Z3rXlonLLL24J7x6x2cWjsCQMjX2k7SUqFMKlFIprY+lY5cqc wY+vTFzfVBCeFq8SnZJHXizTp2NQ7syzYjr4APLmF8IsW7vUcSTA5AAaocvzNpzWhtPGR9FEAa6E cmc1jVuFl0a9rnuf7saXVEIkZp7GxVphZnB11LuMemFhvJzwZV+TeITSIFJbMqnLEvolyBR1YcQI NftlEhFrX6Xxq9O5ImHJL9WTVb1qY+sjB0W6kPg4JOVYklXRLtubIqEtPQA9XuEwVYK+SXbfWu4r pKVpoGXZ5nO+LPmucdQZk50+sx8rBrAaFru8EjDwpl/qUmGtlmxU/FwxXUUyp9YLQ6LrLm5vWtFn XWZNLoWlRguWCA5TxvFkVZ1SYTUEKrVq+SJpwn6StRbBThLDelvGlriuCe2dmJxDgnFWtHxlfnuB oBTRJ4Vvn2BaODEVE1W8FmC1zgORZhqPXK3kTyJBxMVgEhrWMGA6XOTmFS5jZG8f4wrC1OKlHysR aKNGPpaH1XBguVSn4wAHlVqeAQP9ayugVrVMScnAWKnziUZBgzoxr1gtZmTosjOP4lGAMZxk0q5q 4hmZsGZkkGO+prVhKUyJBHyOSg0auwwtpcQUjaAgeM6KLfn+WvWlar4ppAF/aTks3Ti6ttEIZSXE LaE6yswoCD7Lw60Qoj0aj7NjnNfBbKjdgs3gxxCw3Wb3jgspXwgOd+8eu5GZMRqpnEqPu5tYlfao MDJp/yzHhIUQmdmSkGFo4WV64LqzEq6RYcMHgwpWwcmZBVkXI/PeIcFJCRZFLFaWy5jofF5TEfqE R6/1aqn0l27MnqnJVJwGzzaTQalkNeGfgXl5AFPFqOcvFyR3zLro1YbhJPDjII6td9TxkUtbWHLe 0mo2IVBTdTYHVFUvA9RVntFhOufsJGmJE2F+uDq5T+BGK0zNuYhWWxag4lsIcHcNn5XJVFakiIY5 zlF8/CQHZLbE4UlIvnM6je3HHcXiWwNfn6ly3gIXsG8+cWt1rj/EMIymU39mt4vNe5Tlh1LW1Fc0 g3gajgqmgBL8IoEnxwrgWSxruGYGd37E2Osk1WMLriKw/OYaSS10L552z0a3/M5YuFkZD497s1i/ Wpk8en1csw3PHSW3mXsQT4s/Gm6xXkIq4o+gmwuhvt9tPcXxRC5KXasQqLVGIyQjXtestlqnpjXR jC98EYfGUc53kMlhxY0cBZSBkLNrPAmG9UoeZX4xAh+PpDgULBqTxp935jFiZZrXn//I39l0fnzl KOkBcqP/m7uuglG+dNZrcpQpR4fy2TMjw8YFVJFOwrGGUCVDopTkG0jVYc1oFag1L4zKLUQWXvE0 n7S8Dl3lhVNRGrUMgNCe3YmlbafJRGKpY7lwF3Yyv08UDYn/VQehKRnrxqqfPXNe0YIZx7IE/7dz rCpM0dq0xCHVFh1/miwNd8zQVOFNX2lRW2bLgWvqciBbA+TW+WZ2Lcwv8XJ4cPl//L/ZPzby1Qad cln67wU6hS9b4yD3zUbd+eYVGkuVNrX2+K3qcfl6YEBsP8YZftAFQtLHit/eKo20bw6jTsIJ5d/Z jYQ8iU3CBDB6mZRLQU0mfoNwhRR8llpIgUFDTqBBg/kkMQFcdZFkPDKLSQsJMaw1CgnsSi2XoCDG G55iCr1ayyUoBMPSgJCQXdNlKTLbdOyUkgSsc0WcKtcy09RmSUkCWnJ/Qkxh8QDzlNtwJshKkQs5 Pyml3MhJKsWJgoleapCSEEl8ClmA51NuNEpwo0nKaHhgL0JZ9xzsK3rDuzuh/7BjYyIxCls3mhRd SSaQB/PTBxkdusq5TIUKPq8oqxBpTuB3DkQgK3suhC54cGBwMjq+Z2+RQZB5XWZWjDUNUuTRLbJD rOC2KVcp6cc+hJ4gBXmKGEA4pBMNyBxO/CRxDnbDyPkdjfqXk3D4Mpv03YRxuvAnzv9APprL/f7Q U/LIEzQkMucZMjI1+vSQ449GaJBGI4RaBs+1SJEPYCyEMhsUJX34HCOAwBeE0tc7gz4a7JE7QIgt I72YPD07zQ5X4INNiZbLlTgsK1GB/fLCHzSlEQO2xQ81xeFtHVqcPF9t0i+XtcrO2c7ezvmO2ipx eOOnQd4sl1E0cabRIgn2UFYQ5wSCf4kzvLsN+uMetbrcrrSmWr336KZeqhkwA2Gyk/pxeJTzAZ2D uUw8e86DwCCy43nJn5RC3l1hgZ/VAvdQgLz31zDaD1cxAdPxPLcPmRU42j/1zsMpDpCotBwRBwQM jqNJT0pOEI0pjniz4QwXMTwumYUI5eSWxXviIsXR2LvzOEqDYRqMXsqiPlvMZsgs48UaWRsyimsk aLoQFgONybuEXPJOVl2m+ioH0JTdQ/SbC+JcuVQcoHK1Om+vMgp3XtKuIUjZxA9ScNSZcvYaxPhq 8L6uhPe1hLdpxLtbCe+uhNc14t2rhHdPwtuS8V4Mds7ONRioXt7PmEtoocXxHSionJpqU/m4yRmH 5faPFH5zenAq9Q+S4Q/TEKJyja4CXYVwqTTAN7Pk3DD+Fc2pScgzNQc5+hAbUMxCgwTkhbMkiG/C YaDNnfrJZ6kT+4s0msIjbQNSrj8b9TQK7OxoUl87rzWpu86uJnXP2ctTSTMvEmRmxCGDRnDjk1KM k8WT5nNuuG8cknL/cOfNwPl939vZPdh5VWv+85+d+sa+d35w1D/bxd+b9Qe93akyAlUYfOi4I1uW g7N/11i8CwfHytZYLFCryzDFSzXQcBvsSVhhBMMHz6MDkE68mKdk3ZI9GtusMIiEny9HmV+rzdKs dQ/2DuH/2du8LWO+uTDSynCWYWiba3ALcEAAgiRDslUClcUrwGrzeyZMgNnfORz02fswefL52QWk Ntce8Cf0nZ7hddML8+umAzFLipd3Ib9uymcOpEwhkPWF9LwplzXInjnttG3Fonn49ML48OmA5qAp Vde2AkwYyhgtptN7HIO7+7FGQuTVsPjQTAEJu+6sO67z3IFggPqiiVB0UKUosYtCtfCcKSrs2tXL FR5UKky8cqHmlosLt+1q5goPKhUmz9cKNXfauHDXrmau8MBQmD1ChJxbKHt61h8M+nvkcaHmBk47 6x/2d7LEhvPQ071Madn1kfsW0Je0AEtnOSx4harMjmVQBkSXwVU4wxEly+xZXp+rRnATNjEBeBCk fYCv8RuC2QWinri3jku8YSXoeCBj3IVlUQGCi+KisYp9+v6VkLZ/1t/Zk9M+nB2c9+XEQb//TkyD J6nos1VOJo8hjqkp00rIFIAc+Cd7S97QGiR4IN8anWZ5a5iHjzIVim+jefkYxKCsB7NuWXXumrU6 thsWyBR1lB/TwF34AypAs3lfpIYDONZrCug6fZ2GuDEkLCv5TU+s0bMCIjJY6C5ERo6WYlcLHyGC g6Rk9cG/Q2qsx4rXtAvR8udWNcgZZhEtVrgCtCR2NXWBycoMeb87fzYJHm7/fU3zMtbva7pQAeC9 Z5sZunPF47mY8+DgxeGeXRUX9HFqX1cBzbwOYnNmkkYKAdNgalk9ET9MlZoyksXs8yy65SZOD851 OBrBFgg1KFj0wkErrkHo7/04mu6Hk0C0qzhyJ58ypcamGM9pjYl8Q2yb0qJHwZSe30ayyVWtoNwO xBQ6+2Cy7vtxEKBcrhSEIquThaXtMsNUwaA2m265QTHbsFKvHuZ+pYMyg3rkFCOvzX6isVmOyt5V 2LJAJtpmzQOvilBoAWpvqG9wHg4/J/nIL+vPXjDx76lf6kwTIaAxTa0563hahGfn/mQCIe/qrAh+ 6gnNVLHGdTctSWTe5gDog+UhCRvxHYUanSGruqfbS1Zq0jNxHNwW85ENM37sT+s9zfNSGWUHe5xg DvYUA8SAcC8ejQoYlanKeFWpEWrAyzq46+PtUVIDX3XKwg9W6OZbNn2mtb1cN/cX7LBcUZ9iULbd vFNW2+PXE6xQiTOL7mNQiR7m9mNQQcT1KB7l5tXoGnLo7P1M1wKZ4mdKnep3cWkwDn7tCe5Ts+OQ w6dichdG7dksmCRyegKnrPmlUYol8afzSZAo6XN/JC600n4KgzM72Sr4KsgFzEwI6aNwzplspGQe ETnzBSwhauR1v6xAj7oxOyCwwTwYYlNapog6Tx1j2H1/LsmTPCqoyiIeejqpoqxRkipZo2hxOQmc GJ4CQUTFssjX6TGKvN5JMFMSPPoAg5g4XUzUmiAjhkcGe7Lokd+G1Cn52Gx8EnzwjP91VMuGqDnS QipBgRgZBXdCA6DixHkq677yWIZLH8zCVHAxR3g7ET9UqfHecJl/L8KUm5qvaeb4GI7sTB4jTPTk GyDFryvQSRBRMjirUJX2k3kww3XUBEVE5AdJGAejDUdKjy5TH54OlJ400Cwn7FzsHZx4g/OT01Oy CrShyT493Pn54PiNNmvnYtDfoy2E6YddHXg2gxsIuXTm9xBicRITLjxGt2UpmayJTn3UT4ls8JUJ +PQiKujtjiU6SXxkXSTyRx923nui+4565QazggH+kMom6N/MxqzjisgbG9Rk0SSmDG5jsyrLMKdA hNVoHVkVBF+zXVG5Xi/CyYj1rZraT7UzSsE+MXYhhVl8OnlCKWCRjEhyS8aQQIqIBFIACeHP7VTk bzeaoX6ZSv2HsUeQtjpVG+EovCMYaSuMkmytgo0v8TBrdBypEW8awRs8gf42BlG64WeC1zChvMCn TAUQHZ5d8BwVqAqOprtp5R+ZfJUyp2c4QrPt0vkkg7J2D9tl1VWZBXYskCmzwDIKTLZ4d887P9v5 uX90ev7zBp9KTbOQpthjSMOGWEjCq7rIpj+HvbLdPWaYCx08orzhSHYfxOUx2nvJU0uKV0aejVOS 2QNQZKzY3Utjep9M4yrt7klOEk8RY8XJORL8qcUUo5a2r+EJLFqlnDyO6VnVfHSj5Dn434/b286z 5qecdOKCuGVtLVuivePFFLsJieBQ5C4F/woGLQH+BLaCUE4pQWXFoMFRUKEpbCY2AksHX4YBE02G zfZmZcZOJ/79ORa6iHBDu1hKjjVh0W5wCbgZ6LIuaUH6gTMS9bJmXrdUa4408+/hIcOetji4DIoY NIBnQYJstw3kII3mNnB9eIbdDJhb8z1szzWQ1RYPtizsI7LpzeVs+i/RfZKGw8+lZp0DfLRl5ytd hXGX8Mn2vb1lwRO/FPUvmt7TLlSxXEcEXdMpOrIdDEIyHybrwcBzGxLAwSIyq8JdfcuiLXlTk9Er VpCbHbECDRd8kWBUsdABgNREQhilxSWR8HbugqS4bFHp1/5kkixb9Vs/Xbrs60Waooa1pDwzGQz0 Yj5CrjM3xWpu2ze5TE7/JpilMG4QdcLHTmUKyAEMoRhM9+5CEwt0jwYB0NGnbU8g8U2kupC0C6u6 9tOyBkdYoMEL0VwiAPqqzuiO/biXxlwtgaRJi5FjEINzzwrlg4JRMSqNDg0rm2heUyxdfwX1ScqP djCwRw8OeYWFi974cGgpWRnY44+c5DVW2UjKCVCUC3rYfE565nLzvc1tC1oL9gTsRP05uL+M/HhU KmwO8NFawFdaQlxyPy3dasjA5KkcP394510cvzs++XCsLKm98/YPzgbnmvTXO7vvBqc7u3C6sSvl ne+8RqnbUuruYX8HJnhNV8o4659fnEHdzZaUg6eJkCHj6g92d04hx92SchhNLbmW/k+7hzsHR5Al V/Pvi5Pz/t7rQ8hrS3lvdwZvIX1TSt87OTzE7LQ6Us7O0Wn/bLBzDAuUra6uKsiQOTrs75+f7pz1 QQ5tWdhnB2/e5rlNuUI4EnUweAd5MtenhxcDSJdZ3j05OtqBDJnfo4NjUkJmGDF1cAI8tWWGB4dE Rm25LRqQKEugCYky9y5K3JS5bkGizGwbEmUuNyFRZrEDiTJ7W5Aos9aFRJmrbUjcUsR2eAJtsClz NegfHWSZatsOQKQdmcH+vy92DnGOzOWbs/4OalTIchUN6g/OD3BFHZnlHeipHcTz2pqqXa/PUJft A8R2U9eZaStuuzrl4worqoT0EmfIsr443kMdYffkDBR+e1NTJ+sN27LwfUiUhX8JibLgh5AoC3wE NqMhizvAqTLvY5wqM32FU2VWr3GqzGeIU2X+fsGpMmOfcarM2QSnyqxNcarM2wxSmzJvEU6VeZvj VJm3X3GqzFuMU2XeEpwq85biVJm3BU6VebvBqTJvtzhV5u0ODw8yb/c4VebtN5wq87bXP+xjlWrC yCB3gw8nZ4d72Cw1lc5I8po4r6nNc3Geq81r4byWNq+N89ravE2ct6nN6+C8jjZvC+dtafO6OK+r zdvGedt63rFgtgyCwZLZ0kumiUWzpRdNE8tmSy+bJhbOll44TSydLb10mlg8W3rxNLF8tvTyaWIB bekF1MQS2tJLyMUS6uol5GIJdQ26gyXU1UvIxRLq6iXkYgl19RJysYS6egm5WEJdvYRcLKGuXkIu llBXLyEXS6irl1ALS2hbL6EWltC2XkItLKFtQ/fCEtrWS6iFJbStl1ALS2hbL6EWltC2XkItLKFt vYRaWELbegm1sIS29RJqg4Tchl5C7SbO1Euo7eJMvYTaLZxpsEBtnKmXUHsTZ+ol1O7gTL2E2ls4 Uy+hdhdn6iXU3saZegltYgk19RLaxBJq6iW0iSXU1EtoE0uoqZfQJpZQ02CksYSaegltYgk19RLa xBJq6iW0iSXU1EtoE0uoqZdQB0vI1UuogyXk6iXUwRJy9RLqYAm5egl1sIRcvYQ6WEKuYRzDEnL1 EupgCbl6CXWwhFy9hDpYQq5eQltYQi29hLawhFp6CW1hCbX0EtrCEmrpJbSFJdTSS2gLS6ill9AW llDLMNRjCbX0EtrCEmrpJbSFJdTSS6iLJdTWS6iLJdTWS6iLJdTWS6iLJdTWS6iLJdTWS6iLJdTW S6iLJdTWS6iLJdQ2eENYQm29hLpYQm29hLaxhDb1EtrGEtrUS2gbS2hTL6FtLKFNvYS2sYQ29RLa xhKC6avk1747JaTK4nl3SqjcUtIJgV0lndC2raRjshSf+d0ppkjxl9+d4uZSfOV3p7ilFD/53Slu JMVHfneK20fxj9+d5ssRbkfl2ts7eH+wh9eHOirv3tHF4fnB6eHPOL+ryadrIG5nW1MxWVFxt1Rh eP1jMml3t5qaTDbbd8FRlhfgTnFGS1llwotyruIg40k5ztnULCThDFksB8eD/hnJkmXy9uSILKbJ wujjVSxXcYdPd970CcmKMwxZjGxwhiVG97FGKo7wPlZIxQXex/qoOL/7WB0Vt3cfa6Pi8O5jZVRc 3X2si4qTu49VUXFv97EmKo7tPp40uYpPu4/nS67izu7jqZKreLL7eJbkKk7sPp4guYr/uo/nRi64 rpKAjy+ODk92YTGwpTiZuzungyxTJnmwe3ZymOUqq0CDtwdYsVqKj3mYZylqunt+hpdVFffyMMuR m+ts55AgkxvskGXITXZ21D/fwTnKyhvLUbzJw8HFKe6rLcWXPMuzZCkcnWCr0mpq1lNPTwYkr620 ydv+4SnOUhZVzw6OMU+K/zj4eXDW/zfOkuXw+qy/gxtJcR2P+scXOEPprycfCEeKz9i/ODvBGU11 9Y5kuJrlxJ3BOTle9C6475nP7L5D8vKOT4779MY2r5A4j6gOzWxKmWd8piuXpPoDmW0Z7RmX2VXq JGoE18oVes6yPFelleoSymyrBfPMrpKJuiTOaqoCgB6J81w1jypb466t5p31kTF/T6/CoxobpDmO olHRnXhpd+nxd8wkfMruYNdix6zkdB/E3iMXvHkVDO6d5H4qpCHenWk00pz7C0l5ch6O7Ijh8yQW XMl77n0cXufi+GAXNQ4+V0AC7pCLZI1lESJ+zoJ54Kf0jMnEvydb68KVuO1te/z0XC/bcEUV5Ech 1lEvBTEol8BAhLQA+qns0OoCDGRweQvwJy20IQYQMfiUD21IRMoSBwDcluUOqvH0lN0uMA6xVroF zKAevf+bVVdE0004CspvvjEoa5o6ZdUVymmRBnelqBiU7W28rbLa3EcHROBQPT4gAo9MsYbbZULh zhTjtJ7utDHO4WGE87Uklx6xjZFFCY4ghevGkgHCBU5rXFn8xwz7Xg+rXgZGNEf3pHY9du7BDgBI gqk/v44gLoCG7SyXfUmMoxSB7QGDz6/JhmnoTzwWCstIcl4yw4v+0TwMRkzg9IMfpjpQFfI8vjcB GzHD9dtoIZbJrmpME2Ndp1GiqUi91o1A34NEDERxLTCMIMibpmkgg4MQmgXn8e2yixKKrpDjJsBA eXH413CgGuUMwquZP7EGfx1H/mjoU+koJXRFskbLoTccUaeNzcjK8+1oRhPcKW1bZVDsuDb20mhV rQy9eJu4W47q8VaVR6aGmSm6IUIPeCKp3iuO4e2Gc828wrNgCAHgS3xR5Z7qlZxwKSewWyf0MkY0 gYDmxVeWkbuLoBLpigekgc6QrAd6n3ASpGlguJZyGt4Fk318X026R0nLQSwAikAk+nWYJqdBjBEo efdpYMw8Qyqp3J9+o0t8rUvc0SWeJdfhWLms/Uab+lqbuqNJRR3sTIxrSVPfaFNfa1N3SKoSnge3 0mc8NRYp8Sfz6+yONtc+PdHSDhbx2B8GYkS1Gg4QcTkJU+GeMoWlV/iYLuNPCBNqfrxJhwNfDMxx jODa+TCt90zhnFjB32UJSBE5ZXVcly+E44BOuD/Kl+nDdHgt33afAyrpxhS7sJWLnNJJw69617dw Qd5ZJ3974poGYXg4CedejE2BxA/pxk1NW5N3NDT14uuqqLWO/Dky7f6cBxGiMNKnsG6COMFBXcUL YnEwxqE+mdZkugF3s8s89mKDBgHLbz3/xg8nMAl1XjYVvm+nxfkXWC5gL5rOy05BtqsrDcqM2qUg y9vdLcrdMWYmtwVZRViTIqzjcDIpFkMLZatywO3hCSGslC5xM55mjfwe4A9m4wg3c7dbsZnxNXyk TxP/XtM1S7sevps+8Wea2BbruD8GipFez/qksf/dL26ub8eL2TCBPoh/9AphTd01V92I8ljYIs7L VpPJlQrFFLYQr09AnMk9b3DwHxqOkCa+Oev3j9Xk14cXfTV15/D07Y4G+GJ/v3+mpu+dXLw+7JNc MaN/ev5WhR+c9493Dw419e7uXhzp6SdZBi5IpoEXnJdzREX55hCe9MMX0kv9NtknpuptDm+xIYwj mpvlGINFsAsMZxnsQr2smo2LbOUKo6OpRbdihT4sFYek/IqTu9VZRnhHyG0+eYdX827DUXpNLwsF 4dU1vUV6OZ8rUvwOtsEsq8u9ABphIkxgsY9eS9MM5praWu0qtYnSHlBxQZ1GH6aqAAz3z8i9M+A2 UaqqiU4WcqpYeARkN8BXSHiPCSfoIiFkNVhWQAL0wsSF/rrPmLnNfl0TKW81K6rQPvJzdNUSdN2q 6FBTvfGnU782nkQ+eCxoWkt+XhFmyMclWXeBEFSbS9Zw5k/nNTYU4XrYB62JfWZ1tRtV+9eb5esy rkkX8IUndonUGuSH2XdXZoQ0zEsYJylO2OBnkoQ4Y3CtAuLoRFFPXR56v/iFWGuabbCIbK1pl7SQ x3325rXZaBQaMDTLZz+u2I/Lkqp2jChtWDLWyn74hquVSFNR5Wx9EztgG5qp1tSCDOrFZUSsZ1Ss XxbWvrOS6gvrz36RUKSbjc2lBxWyBInIpslmHeEHDhpvWD/MjII5Sl4rYoytNWwIawwbwtrChrCm UO/Z8wChYGv8vNhMTCkbxPUHF//pOBJCQzFfSmdgSGu3qo5GEJWoBK0+TlFJoTL3EEJwvT46LQnB RZjarOoxD/ybgCAv8x+YceZowKs6jAb0QWjodJYcrN4F98W2VSWG603ZB9n2hUMCSwxMO7CQZlsZ XXcjtW13KliPLCgq8I6cpjMWAkWtNXf8c0/QGPDgTSk6BRGEoV/em6ZxxUrNnn5FUTHoqqvf2W5X bMWL+TyIYYGsKjWW65v2K5uKAbn9MxAGAU+q+pP74WRiMcnQ17khLF8TCtzlVW4vTOYT/56ojdnA b7Wbq6nCbBJIPZutCvWw1TN+yP354j1NNkw7rbysbAVuQ9IDwosu9hKMaFzlAn10Ccw4qJWX029b UtGWFDd1Kd1QX04JPAVUebZGBt7D8DL243thPWnu0yhaIj3r2Yoemt/E0XBnNIqDJOGKrjtzlK7f QX5Dhp80jcPLBZ0ZkaUwB/4RX9v6zuk2tqoz9KasgnUnP8SgGVwQibf+/PViPA7ipPAA1xth7aOm X9VYd0yrGm+IbprCP75hSpgvd3XdbUt5ZFg+HOHR15/Dk3dCA6dhKr+5EA5xIBoNjjccDvLWOytO vmhJw4BNiDgY0kg4Wa+FUtn8hPq52v6EEEDpcHz/IZyNoltOJJ2q/g/CdR5dXU2C/cVkMsArN4VO a0FE3TdnO68hpMDZzzj04oaaebK/r0baJTk4ZocmY//i8HCwCyvNbK049i+P8BlM2YVmOVkroe+D 2Zyek8hy2VMaiicYjdMBMjtowvLIMXkZv6HCyYzudsPmzIXxLB93LPDxp3Z5ZPJxCmkn6cPRcBEn UZz96BWctWBSgldDevIRjOsohUd94c+9snskPoPJdSclMUEToY/upzw9o3D9duoxGulpC/pVdPSO ndvURSnC7+1yx2ZZ4Cx11BSKnQUTH8IQlRVXbIwfz3EZtup4l60xktGxu2XJRc68ePQKJ61p1zpo Iwi2bIPtDWIfh/4hzch+3hunOGCzcX01nhrSQOrrEwK1b7KiBUGDwaUoqgCix7Ut5ZWZk+voluLE b4hiI0twtdwyXFXOadn084IObHdyWQlx2X0Uul8XYVr6iASPyv7cV9MGm/rcXf5a4PFJ/33/WIxT haNn754fvO/jPCH9Xf9nuKMmp12cCilHJxeD/tEJRNtR019fnJ+fHCtYuDwJ279Oft756WCgwYdy Xu8cHupz3u6cG4roCchypOr/fXEgymDw8+DDkSoanJLdL9kpyHst5MGFyxOUlW0f8+n9n+B2VAEu tyCvVZDXLsjbLMjrFORB4BguE7XnGdMuV4ithDXvgshwgO9K0begdU8X5Jp4tINjdcHDwjUpq14X 3Smqp3IJmqyBvjjVwF6cypCcZsvwXJa2VK522pJ5dkFplUohU1tSKzqB2D8svDszscuWVskVOrpM sZCpKZkbAk3JPFNTMjMUmoJZnq5GY4MKmcaSamNyWZpS2oaUxFKpMSTBVC3LyaZytcvqT4GEODsq C4nL0pYiVlZbimTJpWBMkMEhTYbLhwoZOs+p16nxKzlDrcbm7zpXfjhTXl0Cxzl7TQfH9cQRfXvL VXF7HQ6vDXXwrJLrgQ67JUiqf0evlD01ARe60+rsCHvMH7emifc4kRCJpw5HEaxwrJxOEuG3CvUc TSSC8MppgiDMikDwuhir/V/RPYRyXr00/MmkevsgaiBM88qpuVZfMqNSIHcqWeVv/fTL6kXOtqgA VevHk1Dxk7u3Aa+qVeOLlOzfzdG0bJmScOxwOUniJzyEu8zat2rzRJfVeZEEcVYnfwD/PvlwNE2u tPeyWGYxZDHJAp51XP4hT1TEID9FbELJGXSHBG0W8wWL6+CrFIJHKNk6Z4p/a4A43VO0VbYRzi+S TZF6rfOL1PPFjuX8InRFVflReQ0FnAo7cUAeCRSeQc8V1QnwbzE/U0cHZuhiXqY2DjwQqGlVkpnc J7fZYEfbFM71ls7L1RfOFtM5RsA9lOZulsbhLnz0bW9PnKWSivr9d5rkN/1znMqeh4cKQL/wvQpp tec0CBitGd/OOqEpO+FJP9dsFo252hzyJ7/Mx20NyGREVL0UKgwF4DZhpQKni+S6uMCa5qYRhtvH TxvWa/npBqn4dxDbpbKaDIKUQ1+TqqMPKtbV7QIeiK4U8ngylWu51jTlS7fcgxG52cpe58lCGlRY 52uVPxtA3ihoLfdGgRAHwPQURfUnXTeXpIZcYCqNlZDDPTpaAlflKt7TEdFZP6fDMVQytk79XyLl Euk0nKmJcx/feyNmLLsaBhuo5UQoVxFoHjuoFs4+ByPvPUnk1tgr7WfZiBDJrFOkS/YrxIUvwSst tWV+80O2jfgWinJLQAM0WFyiETMNpkbozLrBiFwArj1I/MFP9KTo0S/Xatudkp6N5PbiRZYbfr4s fYcivY4Df1TaszKwxwb+yOt7fOQPHteabWiTdtMGm7Vit6xok7c+OCf/HANq/X+SJUCJj8qTfH6L kCTViBcwnrH3qOsb3KTEEEiCFD3Y02zacVBoxM4AeRoIv6ZzKjiAAiFNLUS3Vcl7gdoO+S6cTIzF qw/rW1075WwZehOpiMvyh6GfaURHl7U2nPhJ4uzsHuw4L5354nISDp2D3TDihph5HKXBMA1GLxVv deEHw3kaO5d+gggcxdzF9AyEvorbM+QMjTnx3ciYl94JlRHCVfqArxolsq7i+h+cT/VKzrwJ43Th T0hrowlUkBtHE+iCLpzMRpPgDLSCyQdkU1YFKfYhDpGfyJfboGizo1nFtUO99FXLshpxXbvRLI2j SU2spLyOPdRj7WrAkLiglnqAO41RNqXkDBmzBLxuensTP4xHLm+KND6Qu+qmzpBtmEGaN/eHn4PU 412ng3ev4cVYeH+EPVT0ijuPxGe/6//8+mTnbE+fi3dt9Fn/Ovl5cH6w+44Q29ISS/sgFMu6IO6Q fBfE95df8hET+cUfvL3vkZBxo54ZJA4mFlB3G+TvPf172TNU+0t0z9AJZ2dkGDzNwRAm+7AeLdJL fLBQjyXLngSz3poJ5BbUraCScFZUB8vFVegBcAUwLpBRTwESNA0VwetTKjlcbJ74Fk46Gu0m+se9 HJKB09f1Nug9aNyJbg8gol+8mKdGewagR0F8RQ4QnWIyyQkipBbsEBH6ec+/vpcY+2wSzEY1g2ky 2WPQcRN9OI+IOXspHLUpuUOtWO4iTBYWW7GVRagq2EnJPpZizW1jgX3H7gaS9rvgnsKy4JUm3ADN rWXWSMAP1si6NjaiYa8q4scr6eIV6tPZQhYsbYqnk0tR0acfZWRzOC4djDLLLk4a0GAwuvVjPoYh lzsNR2F2CmpTl0Wt7NHB3oHRyhq0FsqYmvJ/ijKXUcTVK6GlAmZyb1WQ+70/9a+pj0n/I3L+eedo 563qU2YDGW9XyUK9FwdX4pYGQQ7pycdmB0YPuYVILTyHVV2xpVwwnsirIN2fRPM5joNay4TYriBE WG6bZNqrz1MKXUdJSm7XG0oKAJo5yPDZsx9aL9wfhkk6moSXCIfT0i2JKHAt3MwqQpKPqcGYlIW6 HKDFFUdJP1wNh8/hbzL3Y/g1W9xBnahWriyahXLI20bkLlCoieamUnwZpskPt2gOiJdFcuTtViHy AkRksphj6myWQ7bWyO4WpCLVIvYIb056BIgoHJmAU93LItTpIj8JkYKEkFCed+vBqXY0dLzsFsAM ozgYLaZzPgCOAoQEPEVJL7cys/YA6YgLnBXOUEcfFdBenfQkjeZQY7cEBvVTgJGpgqw5Iemhp1vZ lpt5u0iD5T1aoel+XUR0y4wMuDhA04MzCm+8VHOaJSs7iWZXEoIsKcMyydHAf54Hyj6D5VHPc4oQ m7BrqsjrgBFhq0AOtKfBBqMHTTAECjxkFr3pJRyc95CL4JDxz0nBU3Vq4irOKFpAFDA/jcZOzfP4 azeeN4OZe1YOoffZDSbEaw2Bzxcx+lmvi/twCFm4EmSZaBDGyeMwqm3F1yFUZKqJaLR9daKEkUqk 0UhFHUNw1HAIjQe1mDYl6YUmAR55dYIExHpJfBZc7XjZai1r5UXJsztZnt9luc5akhDwiPrNdW9Q ewcLbzo5ZGZRJGYxeaQ0KtElamtP7QBr2g6AKf31sYQuITstbaIkJXH+uhJxPlam1kKdPL75v4hY J5PVyXVpwX4Hj8CVj3uShL3HkW5HbBEGz5tEQ39CxmL0s5J18FYl+AI+rMpzvBZzZK/6K+Ht8e1j 0UrLdBhvlV3m8e1n0YpKh9M5K95TDZ/W5PA+zGOoqUSMnWfjPak5X3uEBmucT8+jLYqfnpn5K9HX 8u54FUeLudK4QttSysarpOyRhPGNTcmbrFZyK+vmPDcmtSUwdIBcLReP5IC3UCZOCoZNytRi8gRt s0pDbM2pzXjKWvJpmF4Jw3bMVhlns5Y2c12tPZgVfZx6rFhJiqWnnXvk3cNDkpmEs4AarjXb5Qar KfYaLGPFQbrAFWmGkhkdSZmVbcBSvEIaa88127m5lWx1Ex8NwZNCgpnE9YQrtmfNekJvpx6WPCyq MKFTDjzmrtmuCS2rG+NldYPX3ckTKu/ERnuNK4YizaJK/PqFlbqKQhQzoze9a9ZLLStW9KqaXrml Jl+6836ptlIZc5bl7OmayzBq2WxD8PXnoxypkkUBg9X5GaJKa2pAVDZbFHxFcF6izq0p66vbcJol I5/NRobCoE2NVftA0UZHASVWpECA9m754h0pP+m0fafGuXoz0VFUtoI6bQ3dieU+EMRzb1ZYV4z9 2Sia6nbQ+OPICQOTNkSTIBiZNipoaLsZ2YwOtGU3cv4ABl63ML/8xLYAMSgc3NJOowjCJGC1yhWo KwnsXDrh0YPD4vxua8v1IH7sPI17YlKsJnF3y5lwvfwaaZY0YmdRspQkmEuokGnxaAUP4u4jpTN2 airhkpE3CzSrR4BHPxeTVDfTxquBec3attSTI8ucQ5gphxGlZuBaQlNKnxMrlKBRHEFO/DJ0Vq5b viUJJTU9V+m4Vt1Wwqu0yLqht8srcSMo3e6qdIlgAQPLKkmuozilBN4li8vwY+tTsaWclFSVAc5W UNnUtrJflq8sbzIoz68OIMnDe9pqtZpK1gHaSABkNjs3Egk8ARM08lwZy8/92J9+3PqkKAJVZ9r+ ohnViwLR0DNnwySmBGRYkAe2RcqW11x8Zlx55Wf05+aV50jtoePAuAxCVb3MxHKVB3nlhdpjqvEL USwIbLI6gWVtU0Fks68sMmuaBaFNv67QfvlLCi3JqVZt44aJKEKJcQeOjORBkTRyk2ngp7hmQ6XU zBprZYa2YhtoKtWFh16f+pNJNETqlzlL6EfhJIOUEA+wEVxDGddsGkwvNxwRd+nxMqECDclxQOsh n56Hp2krYABeGBGwGpwjDDEshIaXQSzmX6T0zePaQOwd8ygJ7+C1Tn+ClIkRiChESaKgMMA0mKWP biGeG/8SdFdyjzQ4ZhGZcGdYhIOVwV1IkTg1RDs8uFmnSE0TTXyVZ+bpS7LdFTjpzK4DI5riq7r4 pd994Pkj+Hl81diU0Hn9leEjk4+rIA1mN5oVD38aGPQ5mxsHQ1hAqIJB0LwFKZdPteNwdlVUIimo CVbTxFR8MYJtFsXBfAJR4tUG4/Ajg1qFF77ocBL4MS4qO/rfOZ1O03bVZ/o5Rd0ulwl8TSAci4hQ ifmgQYj9hc9JGT7QmWQK2vLtt863tECn/a1G/FlmKX3drj3Do3KOFT3AwSbUVhpG0yka1syTTQI4 9GfRLESjD1ixcTgJcPOWNzo8UuPaMgajDjzKUbyaDopbfDhH9nSiyY1uOi2GNMKiQJ6AN555yDHK SCCmK+8qNOABH9RMKOqQ32GCDCV86yzS+mWCVH94LdfiQXhJuario2iFjsCGRBr7EkYDXMuvSTak ZDWW4F7TboOXVocHn8uE2eO7QlOM5UDssLIkgZFw3qk1Jrvj+xOuAqGW0qFbrIpft4GrGPAvYx7i h2UHiUbBLJpWws7oJngnGDG/+k2wcynVqzALi9XKVavUbXVoQS66BJHIyijPJButTDC8QZqeHcoS hrzZKLwK6WN4ohkZwS1CLUMqLEwxCv2A8dcn4cqCBGZNNaujAq5fiUjFw246jGuOFfGEUQuuRCrG fwoqriypsJAuXqdC6GDi+tTKot0AMK2pZwOBtDfE0T3+K9HNE/4rk/iXUSQ9EgNPNoL/dfwXpF+d HEwR2TPN5izvlZjX/C7T6BbN9m+hmLL1Nr8dGp0Xg9NpV+3tMI2ml9zsbMNhFHge/qXfSKWop5cJ kJ0U0F0QaHQp6kUCEH5gINFvspVXXUA2T0DJ8UO8LzafQnxD7URgjhKkOUZ3s2s3uUPz7mRxGc3T 7MiBQGqE33+bF1+cZCSJRdPoM3KRqly5xD1ybpqz0dAsKZoQqFKAp3ELew9ZoYrmwWyerXxEcDm+ uNhV7M/SvMR4pNdXMu+Hh/sKYMVhcZ4mZMJoghbJJ9BgxRi8MF5y6oS+FFsiNvg81d9aluEmkT/y b664IYumfPyU2c1gEkwVPA/4GeqyaAvkJnq3XR6VAVhP5vC6HErD52YWSYhs+cuX9C53npDd7s6T 8OJgT0hIhE+88CemRGPpO5S+J/w3nbzySWRZuieSyn/KdcLKLv9NFsQE1iS6JxLGqVIlHjLEBGJQ xTRI4lPw1JdPgGV+4TtQqkpkGHJ6TEmZKCkLMQmvyvApzAaLaZCEW5k7gIW+kIjIMO95Yd35nZ2v AtHVIKnnPPCFsLKgb/Q3K0bnh573C48AIHAmpAOWBxw+oFNFeT3varbwhnd3ggpnAQnyJLw+K3On sEjJvOPIRF/Oj6+chvPf+OdL5zn6I/HMocIz+kchUyVIEc02HP5zBGPc79kc2fN+7cE/LyBcg/MK 4J0fAIykxsGUJv4XScwo+tVUP/71JSngbYGq1GNV8XWar6ZNRviUn8niZSqk0RsujyqQJkewIQI2 U45gZeT69XWI3HI5smzkrKJii6JMIjY1tJYQSsgtjhUEGwc2sYIIXMsUKgiy8zA5btcI0FJD+TxB 7CBWm0ujG4kbscF0OL/PVzmFOU5iev1VXo3lfWJ4RbZkYpBVPY1uuM1MXKGCW0ZYvleGmSrkqoyB taLLQxbkyNQgpzUnJtnQIjJO3BAzsLOhyKWpkZVbQFtJSBVFgtexptKNAiFUD95Cd9b92/L66svy EX8JRui53zTGaqeZKopqp5tLxuZ72hn2WSH6teqzYIvOmrPmp0/K2iPQl+8EmY/1IMb47pUtVDTV ShO3UpcSa5lVqObRvZhxpr2FsDrWKJWoprtxPK2smcuqJsQD3CofVTkheBN70Yu3/x8vFqib4dcr s8RrwR6RRFvR8gKqfbSYV73LoZ6CUjqqHisWfzW95etCTdppFDSpxhzxZp1bXqw4XshoV4V3TU/y THer9VHYc2UbJnP98rDazX4JhulShiWvzbYyfzgM5uky3SiT2/wy/vyl6kL/U6u69u+T1B9+Vmuc BcFoElQMXifXmUafDevLRRZyFEzCqdb/lA9Y4RpMF0UKrU1x5QVFdau7iX8TeIbgdrwsjJQuT5A1 OVq/Jwm+hFbo5kf88STmuubVZsaWJZlvG8lICIW8vcYJsG68lC4zvHDcc4kJ5dJzSlliS1W+7HRW Zxb1W3SPsuszPU55xJ36d9WbT1X3II6jbJMBfcwW07Iegot4UqEquxPS4VTv8rcgjoQZs7EJ+IKX w2h+r5nrQRuKiww2CxT2RHD+5uWXnKuzS66j4G4lToV48nB1eIVDEOPsnFtY4XSahGIinOqaWCPS nttiOCfqma3JpPLRMGnygQaPp5pfKhPManUtr34mLivMsmynONGwvuRse4Uk8XOzsuh61Q1uEsz1 W+/Ews9LRnSjZ2g+QIUA4c3Bp9bLnMPwCoeuoNcNwquChSZge77SVTSJmtUi15K/1DLdsqshMntf dY1wHN8X38SQ/bVxHF3aDfRybXAeW3/gHs7iq4fuW1vbBesL+MCCW7blQw4sbG2Vbw2ZDyyQHZee mAIbIdJOoAREV39lID9VgMQksn0gF1NT1O3JmQoFy1lywWQ+k5LUFDSpk2hKAplw7ERKaexFLJ6Z 65jfFwfNgeh0JAcrEgTkmRuX97PNbVqCjN5otEj/mftn6z+CRatvEARQUtrzJYs5PCGgfEAIycF9 AAgBc8oCr/C1gx8cTtJw5rECMh0YA9CRNOsmGmBhxEAEZAlUcFgdZtL15JCiZfQgDCpBBVKpLpbl 5ZKkJirQ/5aUCi5pKZQHzVtD0ka04dkW7nVZ/O7NdsXdbP0bs26jlBb5DR58AThA9jbhX0BSnvcR 36/uFqBQ3wYajq+ieSrglzbEDU/c9/F889y/yoez1/fIExFTomgipnyI4pGYcjBLxYRD5PqLKSfD VC2HElXIt8GdCokSVch9iBMoJu3hA3Zi2i4cHRVSBnh8kZAtZkM4ogmpaw/O+c4b7/zn034vi4mx G83GIS7EiZBeYYtGQf7eDCvq5EGDsge11tG0PU8iN8VREr721BOxwnVS/FSUGGxnvkhhnEak1Pjh Ors5z5G5ztYOxGPci/kIAt4sgQR3yO1C5VwrOhriJ0kQp9nRkK0yuJYOG8nk3k/aMgIoB0PE5REC 6I39UDO7IZlIIVRfFhyjwgtIUqQeMJ4aLFThyucE8q1hLRdzsnpEmBEXkCrTX8CGRbHVMapn1akZ W0rDJ0d8dQJA39vbZWpKH/xyS6x2q2EGIM/QXgURjjTGGRiUtHs/gTWkmNtiQKlv0bgkpgz42y3o G2x5cuvPZRsC7y6hbFR3fF9jHT+rGv0QbqBSi5BTdIkGBOfan4wHsAAEz/JJhiuOovTUT68/bjbh PdcH5/LOQ7zO7qfjhJ6DT8jlVU0dGMM8L71Gyo/x+3mlpTFt8EhkMMN+Mf4Ok929s5Oj7PuSyiVL gCE+mk3wpECuX+oFQ9YWSs41tIeSmsxTKoFRmHyuTL9KDeFoBooHz5Rh1MNRHE0F3NoB/2Cv7x2f HPc38K+9g8E78gtLB2EizyhjLbAmUCiTDXhPIUSbxsMJ+YN4mIwpGpsnH9vNTBNTnxLNCQyNalsF ndMkiDgYhXEwTL3Ts/Oekrh7cgyJeBl0GEWfw8Cbwtl2TEYaJXbaMF5MJsTJ7GFPPO1COvgAE2Tu R8E8JcflyXvowRi1zXUGOY1mYQrTQfyWHsbnL9Lotyia9uSEcMZUFR6UjOyou4r9S/yK7M5kEt2S h/Nwxii4XFxdwTvKGCVqlDhdzO2Q/hLm7Y1+j+eL7DNdzAIc+URImUVzJoOWC0WG/vA6YD5Vnjzx f7sfTxYJawSUZEcR9yA2xXYbjnLBo+/rILy6TrmEy/mc1gJ3Za4moro129sV9U21gQ5JwDM0xcA4 8IkvFuizRjRLsh0O/maZmr7iZGkfm5/oi95a++6wpI/OP/7zj+f/2PnHs6bziRErqZiDvykuoWs4 6IumKzrk0BSaL7RmpkSq/B2SIHQArMNvkDL38lczceYsuH3rx6O9GI1zg8V8ji900GbNFYeOrnwq 98H5bFQ59tHU92znaIA1VLrOFEeoU01xMApxVRIaijOqfFYwXYDU1FxiM8FeEBBUG/alFol/FeBw wSIo6jbDzx6aUdakjFEAkxwvuUWdC3Wt2v7BYR/8hnCW3TeWphlwLmAQpGjaf5UIHpu82Ir8EZhv 7NPlRgGWuHB0pZJEIxPHBpSIox5Bp3I3S2b5m52C52/Jo8RvUdoAp+FupzxGDIsCg0U8hiXJ9akf zuhHTw9x6Q8/w8sIsxEkGYASIQuL+83FAWhpMJLmj/ioFyLotYBXeN2YxcJSQYS47UkwQYPUe+h3 R6hla0DRGUpB7QhDZrLBdHUdm7r8k1g6uUZycStHV1w6/0Zm0iHBXIraRn14G8hFGh3cIfFhsMNo +Jl785WgT0YTYmqwfU2UfEocIwrbbKEdRtEFni1zwlMcYp6kU3+CVD6AintagF0YtsFwzUbIrHx0 Nzuf9K9zI+pmPnjXEswDcqxwHRxJAN/sOMnMn++iBk8DMuJz7Z0t8jpX4zuwPqfhXTDBxBxHcN2y 5hAc5P139OM+ayPsaTjCm9YkvjvJRgjfBASfisTh1Y7V//YQFSeCyEo0WZE7N6dgmZdJqCyQKQQT kzExvsIVZt+X/DeCn0RXJ3NH1mpE7PuMWJW7jOh7dxlaV02qSmlTJZWTbxnRj6Fvg/QfZFNTbw66 4bxyUMcJdHS/ju4whlNS0Yqoz+hf99Ec4XRZIWtsTz5GkNu/OUf/o2ZpOyHucWqPIkPzjOXK2XR6 AVOn18FVODNhICD92Yhs+WkrwVatRiKIU41GP+7Zj1v245o25DiKh2jIfeWM/UkSOCbaKVq+SDGo QCHOgHEPDX/KmDacRMhFEzPo/J6OljXuzmU+gJJdDX6oQo5JPjgq9cCebRQbIIRxGzkup9f3CcQu o2k8BbxzwNPAzGaQvp7Pedx5xik4WfqsDzBi6bPe4lFMzpunMWTikRmNgTujEWIwqSl2OUHVkoGF mhAyo8Snl7JeEkM4d1YjaHnefyZi3+aYMWFVTAFRCQpfYz0RDz94WNPj5xBbUaiw/QFRE92CL16T /AJhbrfBzewc1f1Jz3CvQ95uje+ojqDgfBMbx0sB8XxhAjWPz1YFVzbwjGL/FkaeFZjt1Q44Sluj ycRkB40F1pRmI4hMUSbyp6pguaZAw1TK159kjZ7cs1+jLG2Upd2yH9dOXfQcCdownU/8WXAe7V4v Zp/va/nIiibg4WuavYe+MgJRJ9mgnR0L6z2EJEk+NjufHNXkT/3PwQA5r8l1lGpGhDS6upoE+4vJ hA6tdbIvtrbGDyj50PvypcnJLe5D5CWZfIokTAcIL+tznjqwBK8cmL49/xGNdlM/ff4jXnU/DWJc d14cAGsUR52UwFqaOM9Qr193WBKEpnnmoFmNWDuZd9dQWl0z84BDek7zpbFjrUPtmMWeEeYS6c3n nh61W4C6xnSh/shKWuZKfndqtQuQ3Xq9Nq/XPzY+gTRrpNGcH390mp26873TuBuPexJoUwbtmiBd DEkBGcjDcry0ywQGU98lBAZHItbE6Zek9kVTsf+t6s1evyISqdfWM0rm9eoarcdGNLxu1t1SfEjd GMo5VuF//hPr7R9iRhNndHE6l+x+curVlY3Wzescr0wSWIM+0VWgYNRp5RqJPbxVpCUPJTipv2vC itWmHAt1jVUsdK2+rDzzn1UEbHFfxACetYRC8bJVXDVaVOglPGplMFuJZ84Rki1DfU/9mFdsSelF ti6FkXOrThjwBYDGMKUin1foE9fFEi5RAlTH2QWKmC5lEbQICmg48udnb17XBNXZIIxQDpjLXqo/ 5imG2gBakgoboHxeIkl3QGclCWFuQ5HvhtPYkCY2ZTxWm+aobFeWuFEcZHmCq+H2OpwETi1bkj2t 5Uuy9VevnjfrhtXSvWDi39fchsayjedoKpWOa0mKJlHI7/0WCWoymv0jxWsnDq7n/82+revHRrUJ Z6VUv39SqgkBZXTjKQxPt7Daw9ETjtGoQZoxGo8hLsoff2QpEI0vQS5MrXHXIP81/8h+tunPNvp/ ve5888pBf+oKJ1ABMAvSYIsbgL3u/BMV0Egm6/FEAlJ7JXTNJI3YHvU3ohQMIxdebVKhuOUUCghr i4WdmFsU+5JyBBle4LYXpFjcw5ZdnRM8PMSZ8w3N+P575xu2leGoRBIZ4tP9za3CbRjqj+vZxOhh D6nGrX1tAP2IckTztXVv1S0jcryx/Aa2o9ImTr7saCFie6xs2fNhrdSZMbjfktohLxh1JdA3HB2u Rnw9XC0k3rPcey6XUGNsQfDg5I4hzAmgKcTBRhGM/YLT0/PDcWPctiLalS3IFHBWeaHqiTZAOJUT N22AICAFiIDqs3qzCrOaaBXialeRvitLU1yzyotApeteIgfK9k3e4TkehoRw+sctaatK5C6zTWjY KlpyHW4ZgRgatUQwq1jiWxO9Vbzrn8RDOLTWU3NGcKlomPI74AT2xR2sDtz1lPR7SL/P0ykGDD+6 U9MBfnSv4rmFdAoDv29VkGsOBH5fc2SSMWmO3CcksxpxxL+nBTeoY/49LVzSe1a9Asq1ALnEVeNh 8PiDMPDry2MWTADsCCzMPHeaPfQBcUXR3+fPdT4ZpQS/KvfKUUj9OPmE35pu2Iz5wkyQ8uLgVYxX QImc/Aey8bheWJ3bhAW1Zq8YV1OPqynhatvgcvW4XAlXywZXS4+rJeFybXC19bjaEq6mDa5NPa5N CVfDBldHj6sj4tq2QbWlR7UloupSVMW4unpcXRHXlg1Z23pU2yKqjgWqpl7pm5LS2+h8U6/zTUnn bVS+qVf5pqTyNhrf1Gt8U9J4G4Vv6hW+KSu8DSq9vjclfWfqvibMNJTbGXAobEJvLJXfHmwby5JD g+8P9vqHzkt6UMR5vTPoewcnyCDP4yhFo0sweimsvKvHzuB67WwYeNihG177s6tAOuUAVpksKg3u Z0PlmBrZfZY3JMmkl4xix9E+ms9qjjvwIP+JomkhCAMQDxPC+fHsRLSYnB+M5h4BgYy7FM5UazLu aYZ87AZLWSEOnogWTzOEcbrwJyQXSXI0CT7EIZrYURfOH41iNjDjhxKUbVwyEaQreEXCqFU7BsBz iiaKBId0CETIUw57CLn5eY814cEr/WkPvGHK+zdYnGxdhPEjz+mYYmmnaDrdetBWUiAXrsasslc0 l4ailo71+vHo1o8D/WVYOOg5mZD+iftuw5RN++4pTcG9VTrsS967iCXtvRpGszSOJmIqvHSOfMno MtjAvy8Xyb3msIk3TOMJPXgtHW+K+TxF+xmdOp3bwxdOwmhWw6KNFmld3tlDSgEun7Y0pIv9QSn7 GnGjKzvAHJNqr5E6EkWDKJQFbcaOf++c7eztnO/ATQEw39mx8KP9U2c6nmffB+9e7znh58tRDnGw d+BMw1GYpfy8c7Tzdse596f+dY6JGGZssPNz9lmLM2Xoycfzb+AAPtgJ6Ynhtx8OwNqwk31iobcf 4GLdpLbw4VGjGNuZ/Kg7dcUJ0G0BUJfCXMowEiVwD1isLJukTqSAbgT4VgMME0Mt8KUGuOtcSpEG 18k1Hw+v+aIRTyY50wOU7g3nix/QZCeK7/lxl8uMg6swMd2UZ0DJfIiXZbP+7Zqys1s07Cw4zSIX NsSb8IPT3f3DnTfe4PzkFFmfxl2juaFkHhyf98+Odw69g7N/EyBXBXp99o7ktdW887Od3T7J7aq5 eyd5frOxsSacw2FA/zo49/rHe97uydEp3MBAwBt6oJ/6u95Z//zi7JgAKVDvXx/SujQooAtCpqvL PD46wJltXSaS0ibDq0N8AjcO3+4cv+kzHBqonUOgjW8V6zWeP7TttURx1JJLlMJtuEQ52vprSxSV dGJZDLnCLIEBKdNyLbS5RDGknEuUQlprXUrTXZBKeq8v5I7FK+z3zv+YBEoGxa7Z3LmZtcJ3ncBQ kcu0WfiJuzSN76jJYnY+usKjFH5TF00/uj1t7tRPPiu55EYjSm4KyYk3DoPJCKW7QvpLpyV8o9lS UymMEhtKovw9hNtFGvzi9y147BpcLnFkqUymU1SlR+/iUJj59X2C+QboRo/LQR4ZhETIsLLt80l0 iaYLUqrAoI4/JrDFPFBQijzSRJSGXGoJUvoU2aaJqOGvw1RORX49cmtmNJ0TCmv3tiCWGNe9xWOY a5J8nNYR0qIxJtvV1tLFtaiVqHWIVWzySbSGlhDuhFaEugn5tSboPiTDWirVD+p3LJL5Roj+P03m ueLA2jg9Ysf6lZM25YSGlJBI31Pp+06GT6P5nN3XI6FaUuh5dA7AWB3mdMFxu6E3lxPQ5GEuFrq5 jDeS8XBjNB7SjJto4qdwfEHybbCVMZsZobPG840kngv9K2X48YMp04WX3XsWUuf+Fb1czRcepWlj A/3T3AjhF/pH7Ly4s4pFANk4mdxukF/+YpJSt5trO8hKklvsTbrbVrwN/WG8MfR9fPscNIXslIGT Cl9Yi5H8htlNNqo+0073swfv+g3pu35U5+hWKpR9gfKewYFScpWVJnmwHi+nQUPW6RS2a3SDZQcX /R9xnLm3XX2m4LKDhEaL6dzDCycJm59853S2DaVz++n56RAHR3HEw6E3yOcHK7bhQGzkDWTSUCPh PSw0GxgPXW6OSIUHhhfvYel6sVAT+vGx0/5Entc0Usg3UMYmzKDTITuRmQ75nRReJD6aDsNc3mNx CBz5qhZupXQIu7JDHADCJGmhANcpcEl8ULiLfFjnvx0YLxo9FZ51l7xAWygAYWaU9oQj8V4a+zN4 syogigsTfCZtGPKFSR/UQILdwFwamq6u6LkoxjTGG0oI8SzK0Nz4E+FMBD03CoOQclwXlzQe2G2/ hEKI6e+xJMAo9AoPTW8qBZrFBTpigVFpDVtKAXMNyBcDe/TSwa9e1uq6c7PrBBuSGV74KhA2Nn41 vYyZoiSWuCC+jjePQrjnW6EF43nFBgTFRiKO55nA0JhRLGAosiUUSQqKWIkY42JS4QIpMJONhIK7 yQTO7giLFmu6d8fGQ3069rzUrMy4GYrhXqfNSYMkVTLqTi+PRmgzGkB0G/SXWxGRIpopkX72kNg3 nB3ybzgbwb/zEP07H8G/o2YH/u1i8fiXCTLo6N/JhnO6CzmnuyjHCadT/E8D/9vE/7r4X4TCn3qL 2edZdDvDv8PJBOLlYYuT6EMPhd7B4eEbOqcKvZMzhMrbOd6DP33ydXI2YKnkRx+n0BKDi9cb5M8O /fsT/vt6d4+B7OxhdOjPDv2LQXY4kOP+mw3yB2cdQxb6u3uIKzw+OYc/54NzBv8afsPf3bdvyF8C +XrQz2B2j043yJ8j+neHZR29Pz3DiejHES54dPK+z/7ukJzBmUt+uBy3H3Yw0v5Pb8ifcwJy1D+k Pw77DPb8jMAevT9zLwb0J/rh4grP+kAqZg5nwUIKTj/vkz+ZbA4Pjt9B0sXx4TuWdnY+2KBVvCfg GYn/Io30L8L9a/L1ejjM0BH+TsmfPciB6nOAvYP3FxvkL67k6OLwgv4dZE1KVWKA2T4kX4fk6+yE /iF8nvxEP38640p/oMU/0PL0L/lGGOjfsw8MB/uBUlj7vn23gf91s2ZFjbfrsmZ0d3Gz7wzoH5cy dUiZOcyUaR+0Cf6i9rzAP0CtaMKAJCD9gr/7+yf4LzQe/D04zmRyurNL2+kU/z0nNb9+d3pOKDg8 PCJtdcTajsgeiM2w7J/idttnDbM/oH9z+P0sa4co7T5SpvOTs0zzdg+OCZfw45T9wO29e3oxeHuY /TrNfu0wSpCpYeI8uiA62UfSOjmlCyZ5AtbenfP9/s65d7DHfwGvyPCEM+RiTmfw1LHsc6LEaBJF nxdzZogYLMkj4w0fboYE3XlwSCnkTn/8pDdpyW8eRCLbgB+3ERzoRz/wY8APDnzDuGCwhmMfRnE4 UYrsKPsFb2TgHxGEikR/R9EsHSLPj8JRe4t+h8kvUxrLHn9dosFveI2qhQmgPx6To1q6ehfeIoGj /vBjlv2c+veXAbQ1Tmb1LEg9FO0CzmBrcU4gZOLUn+QL0SiJkISSmlnSL2h6ghLcLIFOqV45rSwJ DeMA084SSJhgWrRLxw+UHsxG3iU+Vv4KQo47aO4b3Zri3l2GaDIK/zbxv0P87y7+d4z/DfG/B/jf X/C//8L/fsb/UmuIfiX4e4D/HeF/9/C/Mf73DP/7G/63j/+lxzPRL4gXFabIh0ny9ROsih7QSpc1 hKBGqLZ8IWHm3fhxmG+WY1WFCiJ+BYQvT9YdWHnkP0yCG3ZbD+9pct9KOBsBE239l62eOR/pMMsn 6hLOxtHHTZkwTDZuKl1GMlqwg/58h4zmQxyEWp1R5gJE/0/QxP3jJyH81Myj6fqSlOHsjRJyUCDW kTZCvpSW5CxdgJ5HiZqaZKlGtpk4R8jle9ndwL8n4U3wsqu5JYFbGWwYyxUDLA5ftjXJ0Owv3d5a vrUkhllENuulqymXgG/3clOXAx2ONb6QM2JlNIVAoZq6MqYMYq6a2rwhMKWjAKuaNoegc4HXBzSH g54l6Ak95+GPPJaXrR7wEKPImwYxmtvLuSzI6gwBhMnUxxHTdECzGJz8aI5D5CYs4CyNMq7dMy18 eDtIkcm2eHibwmmjK5PMome3MwA5urKKDOyYirFdDNUyIWLjKodqsxgVfWfCLauQDRskVDBKhte1 PrY+kRsxRgG4ZlqRtiE47j1xtxDLmhp7MqMDKeEVRNPPOm2WQ35lEcUJ9WD7vVs/we/y0eVo/FgM XvOh7/WNPDZEPDiM3eYn9YEbTKRTy+sLZjfqeyJ8EVYVLqdjhSBBtbHIzEAPUFPw0I5nhVB8pwTi yRkVVw4tDcOAPcV4haV6LGs5nPWXqrRIsWCQ4hUADkxZ9Hhy/Ce8ylnI0BDCH0U1fkSmUWa+SO/b 3C43c+ZHZCjN/HMplCWybu+2Ckyx1J79O3g0NYxm+Jz+Bls6yi0+E1AAgCCknhjZ3wsYBs/tURHo KpfOHeGzfmc7R6997KUrK2SwO+FfBRtkRCM/8WoX/i2cPaLg5CYkLcA+SBHyhfcWOuXUAb6jPqZs LxyPcbc0rH3pFjxJMFI4i3UJcbj8+F46lZStwJEjhZhEWPsUzjDiveB/voJbnE1ydfPi0HnGh2B1 nmMsdd1KKGD4hiIuvquqemkBXBn83XykA18+xeT9yA7MYOrq+BIqy+jC7U2OiUbAmGBk10vPNZgo fOBWXNc0YkPV8+olUCJkPINDTQ3CQUbXmvlan7pLku/+wVWVbBOQOemo89SyjrPhuOQ1CvqftJtH t1LhIAC4YUiNJxgxnIQVTqiJ23u1LGxILQvSgMVQR+xxalyva1boSWB0qBKZvHCkrY9X0w1+aZko 8m+MHsVQwFYiEQd59aom5Gw4nGBA8tGYjdj1OjfpiyE8QzaUZmUYCGpzAMEXmR3hfpJQ44YjVa6v UX+H+4FOYJV+TcST/EbtTF3PrWXd8pVwjX6wgyL4yCZSL0UvMpnQHvr/5eazDuoP4YHGoAsyt2hW AEgR6QQx3fRZJ6qENImzqvXsID2n93BPFiEnxsD5b4eeZcF/vsfdDNc8zputluWNabm68+oVAaWf lDh2RpUdKS1sjTa99VwXtvHX6fx8yiL+kLAy2s7GV5OJT+jenDAYPuh2U9hOZx/0IG6BjKe6Hik3 M0wfnqSZMWK6Jf1nauZbu2Z21WaGi41yM5MASF+rmbGMrZoZlmVX18xZaKZ1eXToGpr6KzX2pV1j N9XG7qpt3f3iTa2V87SuHWpJeEsgD5+Bl603dwxe2/K57ys2vXARBaM223DeGa5v4AP3Olfr4Qtp Qk45uxdABFFAV5nlh8FzNYY/l7egJ7wIbRRFbpMpYa9EOxSjn2nH7Sq0Q2/6Je24/ZNpxy1t5dsl tcNVtOMR48XqtYMMFYS9Eu1Qxoo8zsPS2sFFH1QGCkEx6sDXZf1PphyXtJEvl1SOpqIcS48vK1IN vkGmudDx025b3eLVCHrDFKsOfjjIw6euZmgIxXclcQV0gQVfZwnofz1lhJTzBO74TEzZlri6Mib/ 90IE8WI4n2vvT82C2+yokHLzKstUisGhV1Zoe7ujy2OblrAl5QkbhuKOi2av5TdN2kyTdqNJu9Pt +/E0xMFVduy52Wi1DLTjpvQ80o6eR7fIQE3pWzMkgU2/6eE4lEA7Bzna9pL5KuyiOg1LysVe4uOL suRvaozKF8M6DsSVJ/xW58u0sjJCEVgLMpRo62vhQTa1SHmIjg6JWM+WBokI0dUhuRFAtjVIRIhm 46WKZCaCNF8qSCQIVwcBZs9YbUtb4puCEu2XOpFB69aKahWRbL7UCJXEnyugo86vpPCBW1EX2DLY A1ezUeMjnHgADGdDaes8nE67ZOsTH3/hcuB99amHQ2Y26bs0+lzXlDtDKTSPz7yMo8/BDJXFAWlM povtZJDV4WznNAuU6+TnK1BuejmhVooDXRc3+un1kHkwDMfhUEiM5uRZX84E8XjQb/yw6eXkY2dz s9X55GhWxXPS+Z0ChANOTfJkw90GgzXXeTAQHsubx8E4QJbKa+S3FeC97JYBzwP37lxZLewFIkS2 F4KWiBci2CUcHFvbOEOGX/phPr9oUavD6mqtAf82yewZD9r5xYpnqA5XWtqLzQsBhGRwAo0kG9Zu KpG7KkLxARQLQvl56CoIbVsQSk6dA8qE3IlhrjLSmvkwXz1neF85/NUXCCusJZKU7vGFESxOZYe8 jYQgicRpIsgrJ7AmLunWsB1N6i/gXySMbv1jbav+KZeIIR/k0y4UB6LiEo7zU3EAt0MWkpN695Q4 Yx3PcR3YfRRWMkwFNnAl+rbMVxmLaf5FpZl9joLkiWnmmglXVqZv9D4dCXoGH4K+sdxXOIuuuMGU Bz6Jl0WlxK7GQRxX6bJ1nd86G0X46R6+BMQV4u+g13vOAwsb3KBTCNdtFVv8/MkXeIZz7gW+13Ab 2QoK3OPJZp34vlhBuUZROWGIOYIXNc60x5Ygaz+Opobs0m1scvYJrpsNIXa19mATbkQY8N0hQ8Ms lx5u6BrhOBUObyZMAAySxbEwEUpqWEyMBXXwASqA1IiNbEYIdopVJ0cMNvPTceAjMz8qBRn6k4kO COIbeVPTGbTgriiXSA01FfSzILffBukmfjLNgHLbQF75lIogPedKZc+B6grzczoJS3Id3e4RDAXN B89Np2YGA5iSZxf9TNqI7B928/xJGuZ3JBVk5FgpRGSUorxkV7ByxeBUCM6idcsNAUQMhQhf4EVG MXfgo9VTzm1gQHyB3w6UbKCrsGvyDJq6xoiGZIqUDv32Gt54/PFTT3NKickuuAuGizQwN4KY/50j La3oVjDk2E0QNZqtRLRcXRbfqrunF+c0RAKXup+nUnaPEHln7KKwo1yMG33sflKeFfOVRHqJ/IGr Dm/mQ0CgHX3P7d8Zs8LZDZrvuI3G298OQHvjxTxXOLEBkCr6w/QGqzeLDSVC/HsRpn1kj/w0inMk 9Ag8d9Ti4PgcD2X987f9M3qifo2f3lDmM4r2ydoKX9cgSIVsNhzBgCnxuDsJ/LgIWDkMZLXKRYGY nrQ7hlxtQZhA5qGDmptGgGyqOZ5EfgodzRu3XDJPa5eXGkULiK6Bi3Xa5MFvi2IU3gEhsLvtPf4I H5+BP0DgaL496mlxEDKkPGgAlAfH3SAPVkFNAna1QhxGJJAXFr9rys7WDFFdKT29yoWz5RnJrvHj XmcESpB964losrVI5Ym93AaS69o9/aIqI1Yqjd99RAKCOfYwTBCansSADDjFawUizAPiYBhbkzyM ECpM8pDepdRW+esiQiPYTBaFwjeM+QuyNOpu2jLuD4fxIhjlQ4hCBTCVxPrK8eC5wJHo2GxPlgl9 sROvo1/FcK0XDrybG4Y3uESV0L9cKENBR9hGA5+YTTvxylbu72fvdH4Pyp6rYPgJv9YpmDLcl8Dj zcB0Rj2DwrOH3BJrZ//g14N2MDDNTChhINmCwS3+LkOalCNNJKRJKdLQj2tFGCFfQIkS6j2NHHG8 TqIFVAW8TrfRbmiECc6tSdDYJ8xlzNKRU+PHYXo9DRCZjCCykreRd5E09vFaIV/scqjCcyf05LJc yZGuqBk8GVYjDM7HiwUkhPTB2kIYuFtnZpDM0/EyZLtbNBh0m9ZOnRaGjRqbRSBs5CCjF+lNqt8W zrxYDJNLM+AqZU+FHoPi3SsZM3+mpgVX+G0o7O5RjReIGU+8JFrEw8CYDUsc2t6C5/MBuOvYSAjm ixiv7524bixKqi0rrF1XgYAiiFuxlLEyDA6i9OZREoI0KhdkYqxSELXTUhVCuaL6tIvoMGtK0Lxb LUFO5KKRQ6lS9MDwvAu1S3A3L2gNc3tgS1yxIK40DmDVBFnIeTRDvoCW5QJ7znwIuKwzq+kdrowS f8OQcblWzB2rpaQCBsaFsrmarWkGJKE0roE4sTW5A+MwtmvmIQ0b2mGqFNeSl8RDO2KYL66QcxuP mhvch8t/tNaymzomOTLEcKGQXLeGt6qr1SK14ffUn9ZxRheWiIgypkyy2VAjzQiUrIu0rHM8lwmU GNVyRkuZoIgex8KaakZUkuf+8PNyGlBAvozUyEflJhArZfdWx3MP9yCFDd5faXYIfYpisf6i4oYN g8fgZqzm3dtIvz8qrABLwR8ZcIznsLY941DgAyJseraG1y66bvnaxRo3Y4ETKiWHerS+09RPryfh JXOdOlsFEJrbqJDJXZjdNmTLd3mNt3mvF1cBtCCHs1MGV+VG71YZMnLtr9U2MEJv0xoqRP4Pdye3 W4gjR4GE+8PVcPgc/kI4Nvg1W9zBNcMXrlgBoBgFY83F3+WQVBAd7Ps/oiZ6nbL1SHKlFTC8fAah OaR06i/jm4TtZkE7II3fNOqsQTYAANspSS6cjC8zYCufrFIi/WGUODX64Xl33AVjR4T0vAJYBS1y O6zRmmEVtKlvj9YMq0PrcrD3G459HTYFFbFXkLq90CvI3F7kFSReJHCdBK4riODaXgbXFYRwbS+F 6wpiuLaQA71fPpPaN9OgdUyg8I1AdTWTq/beo3CJ22KccbiuYh2uK5iH6yr24bpCT76uYiEKW0oR CJqL2iI3gypYx7EETKbm61429y2qqFJppe7JSFvaruoqhdWaoytbWZpBdVibjQp4jcAK5mk0Gps6 Vwi7+gUVVSir1zp7noqAZcTz6NYecRGwoa9MmxWoNgJr23hepY3nFTBfVkB8WWw8FHm4FcThVqDZ rUCzW2LwVBXRKi7ydUqUxaKYUlvya5xaj95mWB0j1/fzKF2GFduCWvENL+0ZKoA1NM4wCCf6EpoI NCTSIISfUWteDo86kPmXySroWRKPSs8kgidlV0DQkohUitBIsIwSWpZTI1WFyHnihx7y+llV6gku vL0ULI9MxLc6yh5LlyjsURxMl2kiy3JqL4atALiZ4c9G9jOXsiJacxHN76FkOZUVzcdq8GpN3Azm lBSKxsuEGdbV5bLEPhbhmq6PzYSZb9VuIXSKR6ESmf0lc9eKdEkLJWNqWmFqWmBiZ64t0BlBJZz3 VnzeW/B5b8XnvQWf9/Z8mkH1fmQ8tiFSD6biGloiG5Zjm1z506lvg88IqeU4tcabFuMVwa2xViKW sOZl3kI2GwcLifLm5XKxK6x3CeGVSttRpABWtcPo0x/DDtWKB49VIdYRnEa3fiz5Tfgy2mrIXh16 TTsGcBx8ZCMWTcNWKKzxR4b+5HKmW9aZFfokVsXk8c5ixk0H2bL5toaJyUzTOLasWBbWuCyBH1/e V+iHZQU02gFHcq27eQGwxtjG+Lbx4+dMyyJa03aGXxeRSZkzI4lgSnpFdSyikc10YGJhZzmFKQIX cOcVWNfAVzOJrbSIY8NCkQQ+inTJxIhtHSInlbR2PAqXmrRZltPM4/275ebxVuU09YWz5eqzKqdM acZz/HJ8OL43T0bIyZEqCwjgxVyG6SNRqk1h7tPZ799KWqUiCtOIY0BTPtpYFKShFwqOEXS7X/wY Abk7BHt/aLpBPvQ9nOUVgMpIyQKRFVIzqIw09a2RmkE1SF0O9H7Dsa3AopgsbHtZW4vaXtLWgraX c5GYNbxf2zN/bc39tT3719b8X9sL4NpCAtyBAR6aKU22x5992hwXWAqT6BTlJuC6gg24tjcC1xWs wLV9j72uYAcK20cWRXA3t0RthpRx4l1+sbHKzwgw4EqF5ZrxJr9a2KriKmWVeqMrSymaITU4mw17 rEZYGS/s7xt6kuFoAAO1L6nVM2tuimAltHij3xJtEay+Z0yb9hQbYXXtOq/QrnN7vJf2aC+LjYQs CddeEK49va49vW6JUVPUQqeo+gkIpx/lheSaYGffdjw2g2pYwFv51ZmwLKYTGuzp2/orlyWsKLiD cKIvYLVQk9W7HBplgPIvkxVQsyQahRrYsF8FOUviUeghJ8AqKp5dKcOmP1fw8Zv+yyPTbPqvhLLH 0iWIGvbuqzePXSml33Kb99azjrISOvNAd+VLKaxmLVaCVWfOZngauIKtfkbMoxGatvof0Rnkrf4V 6e8vmQ9WoEVaIAlP0wZPsxwPMIb3tEuRGSFFjPc2HN6Xc3hvw+F9OYf31hyaIbV+YTy2IFAPpWAa 2qEaluIi+9IW2IyAOl5TW6xpMVYB2hZnFUIpV7AvjxNs9vQliViV1bp5sKVjOU4UgCrWlm27r3Z4 WBFaDbFks11E+7i9fJ7m1WFX249txld3MCoUVb0MvB2vW3uZFXkaVqV0m/glKspt4lsv9tJteE2r WDJiWVZ1RdiGvGW/K4NXdQI2N207dQGsalJh030F855l8azp1P/XRWRQ4JKte64fVMZRtHFfInl5 494k/IKNe5salI378kaWNu6r8FGkRUUb99U5qaKvsP++xLzYqpQ6B/fvlpmD25RS6wpny9RlU6pg s948nVh6s/6RKJUmMPZi9vO34saoVN4wpuhxlA4n5cVgD367cIu+6W6WhacgL/5i5xCH1DBu+rdw AFT8bjq0xP6pd7xzvLHGPg6O9w+OD877ecp/+mcn+dfg4vXxydnRzmGeRL7h84HE+3WNVbNgB5gE IMA76Pf7nvPKed6EYDPe4P3Bnod//XRy2j8mP09PBgc/kZ8Hg5Ndb+3B8Q4PXnvv+2eDg5Nj7/zn 077QakqukIKJ3DJLNHsOPIv1SOI0/p69GJviQLrkuSdYIIB3tHv0uS1yozi+asoJrpAQB+kNBHnE QhOfEkGEBHHMvX6e0UE234ai7rQ67jIHOEhYFy7AyGY5ZJV4JZ1ydFS5lXfVtTWzZ4pARms6Q8MN GUS1SIgmiFGKbMxiBvL7PTcKPeZdQux6z1sgHfwd0l8CKmiU7AUIlPkCwcErY/QZBj0t+nNnBbTk t4AyYj66n0R6RkZ6PjY+ZSTpCZo4NXE6IpOVIcw4YOfVAZa+naN05+LmIQjWzBctac00dFF+0LKH 5YQjrX87huIj57+aG//V+NZ56Xz7avwtrMrFdfggv+/IcxUZD7EoCdzQa+atHpGMzGlUqEiWoAKp f7OzhNRsTyQq0rYrJ7b5HdIelO38t9NwiJI9h2+NFC0dLln2VqWsaQJD1yocJdtuy2gIoXynWxRD CgfobDSKgkiRfpvHiPaSa38uPGSf55FYXD1tfGP58XfxDTgarPFls1cMyE5DvOyWAE79WRomif/S bfXkkMUheVnv6xP56yIMUlh9L0WZs+OqcaWBHw+HLsXDat5iNK4SazG+wWhX+XIN1mz21ux4bLx0 G5biaL6kYdg3t4t0+Cs0fbNZpe1tBdPcriqYbsdeMESHtMHJSyicJLe4wmLaKBBX69xHYw1WW7B0 haSKgflwyOjxHN6iwLtDprCpa79LEeSFLrHuJHfwMlVNzanXnO9jh3v2lMQJvL6DR4bu5s9/xKS/ QDzJIBMJZMKDoPLfwwOfW2P+dVaa80f2lHK9hrD84dSeT9BQ6/z4o9NqCpAUBXn79TlK6SlPlcPj ELXsObbrO4qmTmKstYpEjR9Ddd0lWwNNzP8qrTEhModG4dqk7vx/nHhlaNQkirD/B5qLiBcelrom Hw0i6y23WNZqrFdRuYOrQnE+Tp4yJ1hSOAQlF/a6jESI71xM42qJrOVUMnuLHzKuK/YHnuDMgTM7 Wgm6SaF1RtD0bJldXO81bkgmQcIzqSOJ8ApQi/mXoRkwyJ0DxM2gh2QBzzlo6KZ6YLhX/0owriak JOY6Av5GIAkeXFNq5hOJr7L2mLDmouxICWvpUXAr+VFYWwkySsplmCEWpMiTRkUmUyAmF8jSHOR9 TfcCy9LGWNcdiQXVwXC9kD2ZznVxbf9jcAQUnilaWzZK/Rrn6sGjms8aLxpgpjc77RIzvWR0e7nC 56zC7uaSFRZHxV+FwVUaA7RI07gGQK4bNkzIBC0oA2oSoJKWL476/+eSS/PJ5VL5aYJVCqhgoH6O hNRCThZ+2v4RTxo8SXuKbdnCbflQ4e2Eqk8m2HDhF3Hh82zoSl8Wlb7Uelc1VKXkBCKPGGGSEuuw YnXXaHTJNOSlw15BbTa2lnsYBj9qzt5j29o0Ami1hr4mBE9oIuK8MffuEvfM00PJE0zsDaY15YkZ nCn2SnhiVhjj4XEc+pYC/5ps3kCA4g/QrUazIU0vgkkSUIwZEux6vmgUY2pbYfpnOaKujIjnD3s7 FfhzZWRUvTBMz9T3k6wVxGeuopnQJEBYnoNnb0ygKlW8G5RRr5GWjLBtQig0kIPH81JkXTtkz5sy tpICz3ABkzhLn5XheoaIN4Z3z+BB44ZtL8xeUiDY6UNrXjQWupXy4Br3jPn3hAag94X0/F2dkIO3 zZawLNlmYm5eNIwJUDY2Ji9Qzc7Iz/KZZJOLQ3nIzynoQAp6ri+RFKXdtZWQd9iFGk2vEEmCUR4O rCggpXy5hNS3CotEpFZgKSOloCIk0NLtpbSUPR+Z66hbBGPi7TpC/hPqP2kcTTzYpNbIHiFvtSog 59pGeOSypF2G8QvxTcyiNhERc+0hZGiaRa6FNomm5kJXTn3mswJ3+dOgVizmVej4zHJLmM3gZI4F auBt28ZSChlO5xOmjFtbpmyb1ydVd0oy8IiD3AOr98oeMqXgiqVTS+o6rN7EqGWZc4+XcpgFyF9c lR1nmaU/cgR/qBz8oZJWL/GLNA91coLNfCenluVj54OuZDeEKY9GenI5KANl5XKqSDUFoag0OZEE SJWWK0UIZZMIdxmFM/vv2l5j6PeqKsgGRrWEmumvpso/RExlLa5571VqcU0dtQyYa41hQ2pFkSNt mZbS9OrYwuZ87YZlc7FTH8j+YCFSpxS/yCtwxxvb/EXe+BOtsNlc7rlwtsiGYcjL361HTFc5NO3H +qYcrs3HeRAcpo7Fy2SwBFH4tjG/3A7xvfFlBjwZduCMWBf9efas/rsyWZnT09rf7p/+1+il819X zrcbTrihaX1+dZyf5tVCpJCtOsyEW+rsSann/82+5RA9QO8yv8fMcZUhOH71XyPnP/DPAfxzvAMJ COuGUnctG+Lq4hzP+QYJxgKeTTAtwd2K6Js8PDE0RBRSA/O3PmHPH8RCj8AVvk8n4huHMTINl/dp QOYbXYTscjEeB+T5VDwSpwt/QrFvNcuxI/zmV0TtHw/VjAWT5NLJN9vhJn2NFa3TveCSkQtH8f7D qQGmf/7TaXaoJS99nZR/lFSzg0sPUrH37dWsfGkvzpb2xBy8tEfm8bz1jpVFPVQak5FvXTd18Nya aA7vturUt9AVYQvUqAgpQcaULenMQ08ZMhnfcJjQRkOKn3I1PY0pbMpwUg9n80UqCrUO8MXtEBa0 A8GocSfYOksNFZfbBekTag6jrZP/+4NHkjUWQuK2qiDJcbDWs2yodrdCQxU8lGv1OqrQdHh8APjs /AYxeLBqCtukUJr/aBk2/ilPeCVP/64qx2637N1OqamTK3A0CZm6jpap7pwHQwYlY4o/k8VYdnEu HXEoy3VHHYB7+lEVY/iDSFR3+o0nrtOGY2VAXI181nFp0FK3TpH0jBhur0PUs2rA3o+kIWqADf3J yWf/LQ4P62ZW1HXdGSJC2Esz/Ydqf/7cDPZgph5rUH4sC+qERnTrvaIyLbkMNNY+/U9TVCUgW0gG wX3DjoyZ+zNRH7xnNWZ7bLwfJCgQAP9TAlZRU5SaxW3amKT8s5Ly4jkA7ZI2QD6j1DN6xr3ibbG4 aGPLbvRDPbNkvEM/+d3cWHeGIe+N+QEy6MDNooGxmRUEO92EblTDeoNL8rtyZtPLFrS+8BPhBgNc yQJrerfm8IayVSMKwqjepiEh+8wo+4an7JsiymD/qAI9+uOsheNE4QDwd+9VfVfjiPon7soPcn9l HmvmBT3uWXrlWXdp0xQhYnvKGk1fxyJ9hf+69G9L1KIilW83XUuVr6oSQHZdcEc5l0joP2rb99Sx jxmDQq2vovbckLsmC7Om0V7i4YOm4M5M549CURfTwTwk7ORrdBaKEkQ1nWaSGVpAfUSYtjU5MbLm 1ZXEiM26q7rxpNGMTrwwCSdXAXU729K9FJ07ihDio9xwa5C7SgAHSzl68TlTAtf4hHgEgnq63CbN pfdqzMOu0DPrNYyCzOILujWVyuM69doXEp4gHTJvF3pkHU+FFQUXRN1TlFiQtSLkYqWa+8PPVWaG GmGMcorIEtdwLqUkafyx2QDS83RsStJYHHgztyKbdqkWdDh/9gyV/cfzf3CSoIk1qK7OuSdoeHnm /KPxj7oK+48XBQjIcAPduVsvQ6SWaS9RplG9DHNgKpVxq5dZQgRLSKCaAFpLNE5ricZpLdE4rSUa p7VE47SqN06reuO07BrnH/1/GPpy+3F9OfNCK7Zcc4mWa5a3nHRqOBn6szHEVNhwvv2vSfDthvP9 SPJIC0a8UcHARi2zcWCr4qUKTipsLAmXuJx0GQuekA0dxvuLZge4h7VfA/uqybfwhkGTsNSxluhc amjGntHRzocS3pPWYX/2j3oJboIT7rMR3XkuaQeP7UUpNrLLp+zwGSYNLl6Ia+vXGqHSH18BNTDV hi8E+49tDQkEFWKB40AnmGrEtVZHXMuCOF7OwT+cP/5w2Fe/goaUa1ZxeUUrcktns/xYpnrFlaf6 OaPcbC1jsy3XQjoyangnpI2nSPp+YRZCJruUTNG0C07Eh3XyUCT0IAqJAcVWgZ1oDmeCiOFrdogZ VZbY6NZU5rD7wXCexk46nc+H4oYBQoFSRTsJsWjEFHzwTUhBlaknpEbSqMGOayCUvzU/dmGC9Ht7 w0H/a7rk/+h/XfRrw2k8GMq5BeVcUm5N3tbAQskGZfNGAF7EkM+F0NIwQuJRdeuTadGCXyrKG52e 66mRhsLrShgNPypeIQCaL+SA5KGsTIJhqEluw3R47dTwASCOv6GfBE7jpcovhYdqtBYEynVeGvsD kZc4f8VHOJB3VquhpKT+Av79CL/rnwq2Ni7jwP/c0xPQXoIAcGZWR0FjCQpgC2t1FDTLKOBPAuiq rV7vKBj7i0lqrphtbtpYPLWDmNhSsWJIV4XE5kVgFjmu3bpZ0BKjGG9rBXjNsLCOh3v3K2fL+W9s vaCvfXJeYguIf9vRqekEBdU+X6balchzs7I80UfWX2jPhXDAXshOAdpU2zFUi+/Lh8ncC3yv4TaA DBMdG0K1lvVumY0qYDXZ1AKDQqRVSSCPNCC4RlIDBDhbtga3pIZpp/sZjubNh05RFQj22bIC6C1H ectMOfaQ7IlH4GyDHlFtR7ReT3HFG4Bw9aNmlebAYAkBg6bJjUm9t7ZW4F/XiO/yykGt54+KZhJf Yhx60C5H6H8qpw6d+fV9Qk+V+hOPXkBD4qg/7yAftNPmt5AqlGZF18r8MaP/VuR8ZNXiTu2PLC1a 01QN72EouC2Ruy81FlFYhCerOPqTP3Rtw4IxYkTaWhTu41G07FBwcst2YWUuywX3IDss/0dFSBcG Hy3AdoV+BENPRiU25Nb9aNOqnb5yC3HipRuKOWmVBdupINguJ1cwk1ZyNY4E6gjwsKaEH2iKi86k JdIoNB35ltZMtKc2ZcOdXRUiS+mthmrF6Q2YZkPHBju7S4KsAQWW2sbQukVo8UtqNbJUXQVpw4JW jBYO/bzYrEpzqwg9PI1nR3KBdlBcwoHrUp3m4t2h4ZQ7G8cvyc0Xyy3JMSXLTusXrcEZlusetzT3 VZblllqVgwpwzDOyTqZZDDNEMmAreKzwJ3ZroKc/isfiGWjL1v8Mq36CB8/HrvpaK4C6pSZsFIhh pc8VkNNC9ncGbG4maGv+3vkfXNPq50xVGP2SrC7PbKMyszyrK1+FMNQpXbxZsvK/1zL/Xsv8ey3z 77XMv9Ba5t8Llk+wYPl1lh6XXd7DM2SYYdAJ/AY/AC+/nKeg1Y6xX2RFT7mkgOvecL4nRb8nZb83 rPMojECpKgslOgTuYxF86SU96eDc/0kJtgu0nC7bbTDzu0QnWnYtTzqsr2mar9kmlVfzMsR0xW6D ruRVk2ilVTztGp7mTJQ/0i2+4IOg/ugR6yuG1ZTVTPMt5+qPn12sP9X0YjWI20+FeLM64qfy4Nf/ oi78+pf34def3olfr+JRrv/vdOPXV+/Hr9hfFjduhCvpYInH8zlEDBvhmFFZiDz5zP8x3YCS4hWL Rv0/MhC9yipCHfvH8tsLQtxi6UIoAlfXAQHDf2h0dbmL5jzodo1eZjsSPF0su5ln/0eT7ebZ3wBh cHr8P/DPcV0D3eKQAVBWRAvdzqGP8RsSWQU66E0JNy0DwtJAd3R06wC3OEDApUJ0OTK1ANsCAKlJ hfIVKESYkaxLFScuoqt/qMBS2Wgxj/SYdYgDTjY6lsZ5flPNbhZrXrNY85qVNK9ZSfOalTSvWUnz mraa1yzVvGaZ5jWtNK9ZSfOaFTSvWUnzmvaa1yzRvKZB89g4kmU+b8qx91jovQhZ48vh0OD94wkY PyLwp+WZ248HWhZUxzTiEnwwUlq7Q3hYIhcK6ECV73i2xtIFqiEOn4D41MUJ4Za00P+fO23N0IwE EU4mV2wqwssrj9DxzXBo2mGV5imNuy35PcjSrTDDPhXZptJfhdTudhXiqSP+m9xnvaclEu8wlyD6 5pVxu04S+TPc8gU6mCypgupu/JNojaDU1RVIDfVS4xSl1TXv3YstkM+CM5UxZ7O9XOcPFSvi+79x 28HjIMg3KiY2XyNgbfO9PzLT/FSCK19P0bAlqhlShLlG06ghiyaj+dCkaqpe8U24rGKRKpeTCalH 7Xv9LHD21gbhCQnepcEwOGFcmiUxH9r1v6cRytISyTTWYKaljtdmh2YKgreB6VAHLJzeK7d6RHiS 2RMNn38TiK1Q0daFM/z4jc6g3KH56H8jeaPe0OzJF7mFlllhD3crtZ04VTUGDts9vTi/n+Pj8G1t WEQAwpL4Z3GIQjRnf65d9DUu/iI1YfF6rcMD/r4i9KVL1Q+yk/II0fR65VKrwtdWo5Qv+d7xZum9 Y+t2LCCsUU7Yw0oVqDludp9QgQrQlyrQ41tiOQFbE/awap2z6UtrphEjs6zNrt5bU1ejZZ+Nt9+a rmdRt9t4srolDyEOkjSKlx+faNKyI9b/zSGqV2BmS63PyO7egqBjI+Etkrox3GZBYfZCiH2MYItR p9wYq9MqHTl3cESefK6ULqlR2l+Ek87Tc7LtPoaTh8ePcRWVuPeIce/vDrAatXn2v6YDPHvKDiBN Vf9PjxLok/qtFe1Tx1m38fzL+8RStTfbK6r+sr1M9e3N4ur/Nr+8Zj2llbBUw4eVKWoFZgiFtqr6 ZYciqvdPOhRtPrZtHv6eHuqnh9zs0I/D9HoapKH11o1ym1K40ykGMe7x4TGkh7GcbwfnO2fn3+pi P3C3/uhLSFvKcRDNwSHDcyzi/VTGX34jVR/obIvEOSt3BqtuldjPVlUFVmTYP95znG8tZrryIUPT 2cAqG1t8mXyDzrW0DGKpJi1lbSWKd9WkS+jwMswXtqEie/B8XRVzacNdEtfqX5ep9iqZwj0xnCVp vBjid1NplJgvxKI5p8TVKtZnW9qzF0kLpVT/Sylx9q7un5mnijps0lK8k29k8ovpcJXHrzRmvvsn NPPlPs9f39jb8vgXNPnlrH1tw//lDXyZTP66Zv5PztkqjX0Jq1/NbbG1+GM612wNy4y+YvVfVVjd WUWP4e/B/GU7x5+JidX1A7srSl9P5VWHpfA5VmnzWxFjvvXd6K0VdpqKe92rWlWwX11Yfm2hbK3B vikrLoBZ2BdrFcTN+Mzq/JHGAnyBatorrqbsPBSg6K1VM+XVTxZpfHULDeut5BTSai28gTVw0f9s HLWX5Mhk9786f7Yaa1rYL6Vt2aV2G8P2ZJUbdwlthry/BzPbwWzN0j0wC/TvMe8LjXmcvXiFjUaJ zXhYqzgA2s9hlN145y867uUzmj8/S5YDX8H8psIhii/H4Z9zWFtR7cZOuvLt0M5LOXKIOcQR8oac CapHb9qLDX/FeWjv78H48TNLzVECfGO2VfjaX0kgGPYfVYS8Tfnww4XDFVydW/oVEIHMpjWZ4r5U LpA2kUd2HfiLs+A+raRXRGXr6wn68RyUODQ2p1SzQTKKgb9XWz04L/Pjqwb++/x5lYVE64B+Bb4z kTZ5KdypUnk2KZIDLkpngCDS+YZd7ECLcd7uilvpVPzPQID7tQloViDgwRoS6xO8Ytv8qudHWP8i /eqfXfzH7v7e/9Xu9VgdsXbHv0Avefa17UQ1Av483evvdb7ydb6/pxR/9imFxaLBX2TWYc/J3xOT /9MTE+uZyf+dqcnS3rL9a4JPSYL79UkwvBtYGZXwYqU3i9D/4qk/gVeplOcXlVskhrey/p6q/Mm6 m/07k0/mwK+01zz7+rbjWXGMob+7299Tl1Xt4TwUvxbg6l4LEB9P3DK8nSgdeh0Ps6uvm8PGsgG4 2PQhx7s1Lp85QNDrNbsNTLFDIEZbL5rt5ua229lsbXa3t7Zbbqvb7riddqvVbblb25sr2cu0cVVx FOhl2Wi8aDWaDXd7e3uz02ltd5vN7U232dpqdbvb7S233d7+knwMl+bDfbHV7Lpd+H97c7vR3nRb m61Ow+1utbearU1360uyMVqajeaLdtvtbG822o0uaoFOq93Yam1ub7vtTrfZaDS/aGsEj9Cqdqvt brfb3eZ2o+VuombZ6mw2m253u4n+1+k0vyQf45dLs9H4gnS2Go+Qd2e71USa3m1sIm1pb7Ya2+3m lttym26zvYm6xZfko/mIXtxquJvdzcY2skht1Is73XajubW9jZhAPcAmgs7q2HAf0YsbwRfVnNbL R1D6Jftiq/0YSt0vSenmYyj9ooraeQylX9QybD1KTztfktTuY0htfVFN3X4MqZ0vqqr+oxTA/aLK evkoU7W5Um39zml2NhvOty9e/LDwA284X/wwJv/3wiAIXgzn828fy3fp40rZesIX35Fa/iAOXvvA J2qrrWtU3wMz7X096GPY0V1BfeCcJB5+zdg4TyEEje5r5UKOCydeOEuDq9ifFO5RLDntb69mz1Df +2vjSeSndRysyWplbgntrGbJ2u2nZJe8Pfqn4rf5BZr31zh1atBP/zRcb36JVv7Tsb3ZffrGHvuX yZ+L6eEXaOs/H9f+0zf18z+RHdsMvkAr/5kY7nyBcVnHgPOD82fS886XGK//CnJwv5I6PPtziaHz tdThTyaH7ldSh+d/LjEMv5Y6/MnkULDozUC2ViIq/IR1kKD5+sS/SpZkCpAk0SIeBhSNnSzDMdJM XPuLcObF/uwqgIdTURpBlqVWOaIjbcFvsWhVnVa98uGS6t1p3VKN2H/apw2fQL1t6aoQ4ps13cyf wQu2eauRhMpcOQzhb0EcyXowDmdhev8otBkSATWurIp+YQMAz5drm+FpRIyJFGRcmWyhxYIrPw1v cGf7hms3lryElL/R4VZRL3PWDgsc+PUYltqXOsZlearRTPE8SsIvQrGlUv1e6ZTkX1hfUGf/a6kL EPwn0pYndnOy7Zhy1yJKI2ceR8MgSbxOt9u987h4G8ky13F6X3hnxEy96sHZLvpzZ/yWYFPvQBQu liyrEAZFYPQ3V0h/GoWztMT1fCI23FU2Qzi7dr4OG60VslGD55LrmI8vz0h7le1hsS3wRGx0VsjG JLrCXDjPYA//y/PSXSEvwd2ctAiatjeLzjE+ES/bq7Ra/lfr7v4K2fARH1+JjeEq2UDW9yuxMVpx a3wtrQpWOxZ+JS7Gq+3iX4eLZuMpjO4X52KVTuI8uq25LxobzlfhxF0xJ83GV2Ol/QS+yZfnYnO1 XDQbX4mPp/AU684P5Lf7FfzF5ir9RYvTHk/ExSo9xWGUXH8dLlbpKD7/CssMzZW6iKgdvk4zjFar TF+HieXcQ7wcPfdGkRcin3BcI+sKVjsgS2/YPD7agcEYwW7rVZAih6oGY/dyNDxR84yXbh7gBHZa nfrSomks3Rj/i/TD6swaVqI4mEY3EP1gHs2CWbpCBp5GudzVTUd++CrL1W7xTMSYZ6UK+VvIvy6i NF9BNZ9ls9iHodFSkvBqRt8CBOQh0hYP0rQhFu1OChiMm/ZoDWZo3fkipzFxecZkDX5sYPaX6gcl 6rDcevmjjtxUPGqz0iM22oMlJR2x1G6v8CDJig+QrOjgyFONLys6IPJEB0OeYIN/ZQdBVn5YYmUH Pp70leknOdDxVO28ggMcT9PMKzio8fDn8chWuYr3mEsIT8Te5lfw1wr4d545jRebf/ttln7bY902 o1p0llOLL+u44WFZ8tKocRX8OXyJeM0iAGvqJEN/gqr3h2kEwVipvvLnNGwwge6Ts8Zecu3PA9CQ uzk2AEpOveZ8v+Q5WdoFAowaVfD8RxwNgM124QIHx47ti2FziA171xqPx0i/8Q/7Y+GEFIvgN9wj snPnR1bfsyXru9uyCbBb6SQ5YH72itDD5GBRh6YV8L5gQZ+xc2IlN90qdu8T+NVPNAptfaFBdv3r DLKr26l5/nVWdVa3K/vsqzDQ0qyrcfHKTFmuOatlzmqbszbNWR1z1tZyg7EkQHZgdstuc6P0uMmX bsLlOlH2pOLSG7SlF99K6Pa/BN1LUVga8qeAvqcPP2MgWhtLRuHsEZFv1zR4bbk1c2em6AF7lODL dZ2pBw5ikvpp4EVxeBXO/MnHZqP7CbrbTRSOsGsJHoBTu4yiicPi5HidbqPdqK/9vqazDIAwHDq0 BJqY4/DPI28SRZ8Xcy/1kT+a4I035HHkNIPr8Y0RXrdgwahDXgfM/r0xceQ1cpchg7thMMdH/m2g /eEwXiCCiksVsZqi8tpWlyMQOa8kIfckUO3bpjIUdNIXbO4m5mfv2PI9Xej+3zlu07UN/0UtWII6 NnlNIs9BU5oafk4TE4D+/NPpoj/PnqkOd+Y6SgYx/EQ0NlfG4A6UET4l7ROgEEGBHoxvYsDFNyRr djUsFKD//wEMiE43yUEEAA==