From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: (qmail 1767 invoked by alias); 17 Jun 2012 15:12:23 -0000 Received: (qmail 1755 invoked by uid 22791); 17 Jun 2012 15:12:22 -0000 X-SWARE-Spam-Status: No, hits=-0.7 required=5.0 tests=AWL,BAYES_05,RCVD_IN_DNSWL_NONE,RCVD_IN_HOSTKARMA_NO,SARE_SUB_ENC_UTF8,T_RP_MATCHES_RCVD,UNPARSEABLE_RELAY X-Spam-Check-By: sourceware.org Received: from mailout07.t-online.de (HELO mailout07.t-online.de) (194.25.134.83) by sourceware.org (qpsmtpd/0.43rc1) with ESMTP; Sun, 17 Jun 2012 15:12:08 +0000 Received: from fwd02.aul.t-online.de (fwd02.aul.t-online.de ) by mailout07.t-online.de with smtp id 1SgH93-0002qi-2I; Sun, 17 Jun 2012 17:12:05 +0200 Received: from localhost (rSfl0eZUZhm7t26sSEa+EjhY5yhGnk3M3wqLkXu04J-Y9TW9KEk2qXmxKRxwPVHQzm@[172.20.101.250]) by fwd02.aul.t-online.de with esmtp id 1SgH90-0hYKGm0; Sun, 17 Jun 2012 17:12:02 +0200 MIME-Version: 1.0 Received: from 93.208.125.111:44410 by cmpweb29.aul.t-online.de with HTTP/1.1 (NGCS V4-0-4-0 on API V3-11-7-2) Date: Sun, 17 Jun 2012 15:12:00 -0000 Reply-To: "Oliver Kellogg" To: gcc@gcc.gnu.org Subject: Re: =?UTF-8?Q?=5Bgnat=5D?= reuse of ASTs already constructed From: "Oliver Kellogg" Content-Type: multipart/mixed; boundary="=_3acc8060951a47670cdd38446bcd6557" Message-ID: <1SgH90-0hYKGm0@fwd02.aul.t-online.de> Mailing-List: contact gcc-help@gcc.gnu.org; run by ezmlm Precedence: bulk List-Id: List-Archive: List-Post: List-Help: Sender: gcc-owner@gcc.gnu.org X-SW-Source: 2012-06/txt/msg00261.txt.bz2 --=_3acc8060951a47670cdd38446bcd6557 Content-Type: text/plain; charset="UTF-8" Content-Transfer-Encoding: 7bit Content-length: 1486 Continuing on from http://gcc.gnu.org/ml/gcc/2012-04/msg00654.html, > I looked into the second problem from > http://gcc.gnu.org/ml/gcc/2009-08/msg00475.html , > > > 2) The 'X' lines in the ALI files are not what they should be. > > This is due to the fact that > > Lib.Xref.Generate_(Definition|Reference) is called during semantic > > analysis. However, when I discover that a tree was already built for > > a main unit by a previous compilation, Sem is not redone for that > > tree. [...] > > > > and I see two possible solutions: > > 1) Extend Lib.Xref.Generate_Reference, Sem_Util.Process_End_Label and > others for the case "not In_Extended_Main_Source_Unit" in multi source > compilation mode to buffer the generated references for possible later > consumption by the main unit for which they are intended. > If the main unit is not part of the main units given in the multi > source compile job then the buffered data can be discarded. > > 2) Determine an ordering of the main units such that main units with > little or no dependencies precede main units that depend on them. > Submit units to semantic analysis in the determined order. The second approach would have entailed earth shaking changes to the GNAT code base so I chose the first approach. Here is my current patch (against trunk r158428), plus a test case that demonstrates the fix for the problem described, and also shows remaining problems with ALI generation in the multi source compile mode. Oliver --=_3acc8060951a47670cdd38446bcd6557 Content-Type: application/x-gzip; name="gnat1_multi_source_compile-3.diff.gz" Content-Disposition: attachment; filename="gnat1_multi_source_compile-3.diff.gz" Content-Transfer-Encoding: base64 Content-Description: Content-length: 54957 H4sICEn03U8AA2duYXQxX211bHRpX3NvdXJjZV9jb21waWxlLTMuZGlmZgDM PGtz27ayn6W5PwJxxrVkia4l2fHruDeurbQ+jZ2M7bS9c9JhKRKSGFMky4cf cfTf7+4CIEGKlJ0c996j8UgysFgAi31hd6lT3+F3+2xi2987t1Y07lvxbMNu Hv77r6ZhGGW8jVbEb9zYDXzW297d6u+2m51OZxHqNoiuXX/C7CC8bzdfv2bG zs529xXr4EdvwF6/brKXzOFj1+fsw+XQfHt6/su78+OheXp+cnoxPL5imwDB fccdN1mzcxO4DnNu+ybgNyPu+m7CWtgI8z80O4y5Y9ZyATridmKGQeC1sZWx OPSsezOJODcd7vGEl8EOaLTexA7Z+Ye3b6Fj3uw02ffr7DiYhVbkxrDrcerb CW5/HETMEugZogcc7Hbq2lOWTDm75vcxsyLO4iQCOsQbTVzMLz1m+Q77pc+m 1g0nQOfet2auzZL7kLMVO/DjhNlTK2LrKxuMXfAkjfyY/WOzy+AviAjND5ss CWjNtgUbup1ywBQhdjdmHo9jwGz5Xcb/Si0PQHEgm0QcgCPqap5WMc30b2Ka 6ZOYZlrFNK/2kGfgfY84ht/BDnzYecJi9zM3g7HJfTtwuGPeWF5KZ5vAiSpA nZx8ajpWYplwbjMrMYHqOXizA4d8QVzlWh5gxikAAVAvTqwEjucGjt8aAW3h UNa/b3bkBPVseYCsI6Gi5I6AYGqbm7Qoc8ZnrAUdXTYSXMjKKIM0CdPEzFiT xll+EmczMP0cP1k31vee5U+MOOR2/MynuYB9yZlWwC6ebB/UwCbriA88WziB qynwrxuTXMBuQXJGKckacDu2/Qn6wko9JMUsdD0exWsgYxEIIMgezLxho1SS iPwT1iCOijUNxh5WNnBVK13oWnlNXxlJFP7NuxLE9qw4Rph6kM9uSEiWgHyy onqQziNr0aHql6ND1a+oOGPdogQUQyjRRf+wldWH8Sff3YcPPnMTgxZjjIHq 8f4qN7CPdJmx0E1qz/XxjEB0QGbm84+EktWiXI6xEiHy0Havu8M69I4cJF6r rU92rw0ftt0zgxD5J4Z/H07X5/D+YqzkyXDcGPDZ030DmOpOzAcNU7b6AYk6 Zx8zlA9nJ/vG2YmJGM7ou/pHtND7Eb1f0TRnb9bn+XBQMzfBNQcl0Z6vEJvg q3BEWoM8EBz9/brA8eb097PhPrsFcxH4awlLY85Wv4CCv+EgHNMgnUyx0w5S zwGFwm0LIaDF59xB0dEVhT2JrHD6zC6CQrpELeQgi9qgt9dDRU8f4jBfSt/g fPj7lfnmYjg0z9+dDFv41mbiw/jBB51ZBTo8+WnYwjcApQ/jhxDWZdqWB1pD +RPNDuprU6yr6E3YaRRxPzGVtQffwdb8AgCgQaYPtieuazf/SjnYpIpefls/ MuvZ1Jtn1p2ZgmE4VA3cmfDFVmwIqaEweuIFI8sDUzIOwEpZzj0AjC0v5joQ Wjpc7fFPF0fvfzYvr46uhubxu/PLq4sPx1en78514IwwY8+axPVI0S7WbRX7 QOgSAqjoDyIH/BW1lbEbxeqwxO4jPgvA7JvTILjWhxcgEffTIAmnk4YeeVXO E9A+FTgjluvHPKJvZXiQdByFbuoCuagVV1doJTcEPdeMhVPyPoRDC/4KurKm m7WhLyvZPuIxr+Z7cGBB37Z0LpZ+9IPSUuDQpnaiMzpblweoDxPCiV6QHIcO ehGAZOrLl9IwYCVw2EDLQ5cai68ilBeALG+A2JO75rRzSFjoS5hrUx8bgg+e jPUW1lrRKLfPcC2HqyGYxXz+Q7bqQEM2CTV89Fe6BUSCgGy9vbi5bt3WShiW bw1oKO9BtdQoayfxmquvk4ltIhOVDjYDLSkz/JR9c3W1kiBTK56WGWKaWCOT z8LkvghWRo+NxUVm2MHy8hnQJSK3/NFZqsCz2So6F2ZV97oLPnFjvBT9/O7d L+hljsCIoo1w2K0LnsDJ0dURA1OG58ZIiUAPSqJ0LYuyQAoEBdv0ACsD260U neNUaKxWeRjDt664AKzjXaVdYbaf161XSB8325VO/Fb/FZpt/NjVL2g/Xf1P q9Vu00VNV+Z4ZUG6n/pMeQNCj9GeF63xgeypUFiAStyU0lmY9bw5fTsEScz6 +CidPDpM6DA5tlup32AYbXdvlxzOzU3pcRKqGx654/sishokYoBsHaWu55ji fmnaTgJ39BZdVSmK0BVBhVHg3HeJjqDDgshN7stoBG0kGlD74AOE+RXReISy C+ZDUalqB2VzBjtHlkGeqNwxeLENev06PC5we9idcitsFwHc0ILNhJ5lo2MT AtDERhDy9Hd2kNG2d/Yk5fHSnBk5JfLCS1NLUb25icJ9FQeCjr3/DOtB/epP aHxc4hQFK8TfTP2Ijzk4hzZY/SJ8pwBfiAUUUZEaM9E3BxzCScwAC+sDcYos ocfAGFgwFTKFYA0E1uMbhf3K8MYySmiqBW5J1ih+5huBQrpEteQgFZGf3t6A Yj/4KQOGjKV+7E587pBEuAdN8kcoQEN0ExEYCugolwrNi+eOkGfFcUnDktvE Yu9BeZBmjBbHCNOjfLECJt3o6OBZO1toJxtnU5zOhLlYqweXQewzJSCG9PLv iKZ9IO6J7DKxooSN7lkWxEJ6gi1jCcWt0LphTMVyfXYMtyfzzbsLYIhkCmAq UKKxBLkfz8wREucShsggKuJFg+1ub4918PMVcYM8eOQE2O4EriLp588ypkZv 0NPNOAYJpcLJ/9U0yAhl0T40thhDovmZlVC0aQTOgU/KLRgzi4mYk4WKT9DL EMq1aZAOFUNbukRi7ILk8Ojq6uL0xw9wnfpw/uFyeNJuGg8YBbDC0AQq0A3H YGJ2M+agEUC7yOamAd4sXHDZ0eXl8OzHt8ML8+T06O3w+AqHOLABjA366WxE d6UFKEAht23MARuyyomIogFjRBOe5BHtMAq8YALKCKMwHDYr/lH+FBMByQ0R mmCnY3AUEwY6MYpcx+EiIp4Nw6hsF+noEzGzSdCgMTeJuTcWNhWt6QB8iK3d nW5/S8r5JnvKC/aSBE4g76BAPhfECDkZfDw2p7vHM5xzpxAt+LpzFrcq/Zw7 1ecMzcJbCcG8uHfCiGsaBDXSDA7NNeMgxSjyjPyMdtlNVoGLLHgc5/PiSx4X N7NFl64NGYDn+hzYqjAa0Zl2kGJwXOugq2Utm3aexqbZJWeu6yGK6j6rFiKM S3SQ7K/QQFt74OV28KNX0ECCC2ILvIMETHssz1AQSAFRviJhGhTQf5JMJRRp pPPA/8yjgM245ccsBMljdOYhXEbFsTMRYZXxcBUGR04OfM4SdyZvJp3nwkYH PMTrjzYELkdgV0BdOCm0gDCz4NZnMQ+tCENIgvcIUowvxPWtmDJWQi2I+Cwz aGHsFjyciYsxTdRAGLbE0dm6XLkBQy7FtkK6p7ck03v3sG54O3KsjbY0aR3N SujyI7n3mQl1Bb3VQ4liIxo7cqUDE2D+EHjMK5JMKh3dvslRpOgUw7CFlWPM N3RDZetnM/DoKUE44sktB6rG6QgOzeag0eMNEXfd7OHVrdPb7G91e0r76srN Bt2FaZwDXeuS7vcNVBxdxBonbpImmNCEM0Jo4dcDMNqEP1eP18AkW5SkxPQj UTGNU8vL4NQ0G8qXEmvIjk0Qs2IRuP9ufiQ2YHc4aGi8vzc7EnvNodD52Ujx N2i6gMvzEa6vDqRLRgE4LA4Duibop6UNAN7lPkcJcKRDpe9CHCHya76JJ+7C eNIuWOv07Kgt6fcVI7o5tFgj7hAOaFbJojlwzquFfeKthjSg5DQYfFDeZ2Ex IkURZJyAUhKlyCMR5TUsliMLIjnj/ECy79Z2t0/8u72HX7I00C1c0cg/CfF+ DOsilXMLsgC3bmH3nQhUTdTFRpLOqUvtMQpp6PJYYkJVBEuSeonNAkCM8gYb G6ceA2sZSK5eId2Ik4IkOkg3m0skYEzJmgCTYL8DamNFepGUnptRmu/lu9En MJMwk3G8oiWDCMJdCqLwIKICUKdTxnS2HERhct0nwdk2gVXMY9/dVXWpcWFY O7CyR42j1spxx0uGHb9/XzdMbrR6nOXE1As2pTzOckZVXXLgmPpAr8AN3i8P fVPXqQYH0dLh7y4eQ5D4SxFcnT+GQB5PHQJJziUI9jaXIqjvzhBsL0dQ260Q bA6WIqjvzhDsLkdQ2y0RiEO8sBJxnuVuQeH3VmxbXhk5eCE1vcVyhpWX/xRf ihIkKwcWewtlDNWDRalAxdDOo6rqadqqgG2pwnqazirgW662dNAqzbVceRVG V+mvpSqsMLpKiy1TZPrgSl22VJ3poxc0Wm+pUuuVhtfotcdUWwFFnXbLkdQp uAKaOh2Xo6lTcwU0dZouR1On7Apo6hRajmYpRIamRq1paJZBKDR1yi1HsxQi Q1Oj4jQ0yyAkmmo9qENUq8JsljptqKOoUYi5SNboRB1HtVrMUFRrxq4qoMIL Gb8jV1/UdnIsYQMXFy9Bx7q7R5rntZ1JWC9XDHpP3smoPMuWtVkwkW33MDIM 3imVKk7o2sFOL9+x47K//Bt4/1OsDGKiDIku1vICIvJ1llcYg8EmAwMVBgUq 6GaD3q/YgfC7d3e6vR743XCBxC/odzcaqy28xmU1V0bAVh9yPOv7q6MNl4qw Co0TaMRiqQZb6Hp4AUzluIjP8gxAjk1+YORbpkYc3ECKiAXAtfevlGu1X4VS MJzLKEcSsTAsvvcT687AEMJ+oWSLXuWz+rbxpRM18mK7F0Msghtipa5xxyL+ V+pGmIbGa4u4i8IxjKNghnEB37EiRzSr3ay2kFSmjImYQIc2K52H+FeEGVVj hW8wFSxoTLnl8KLf0nmWmXSJq5ssE6oHvfc/hPt7m6+6vW3k/v4mfiHu/7/h 3qyosPhCUZtsxLiEYH3fMMRl3Qjt6eGquzGxp1VMT4f528PCgPX56q8lps25 wxUHFoZySO4lVMnF10yhs0X1LPlEkjG0ftoeytEZUvmM3of7SFZjnB8vcMmq u1gbulx4C1sUVCBD8jpLjSwQ4e9cSGGmurWUSaV1V1MK3i73QaRWW1iWR6Lb lv/k64MVH7E6ktwV12Fg7MoQbnIZ9vIpoJV0/JZlLhBs+UrL4MsXW0toDa7J suSIeT4cnlyaJ0eXP1MG9v3p++GJeXr+/sOVtAQV2tWgYnrYY1nPGmNQJ6Kc GVRUTFzzUeqovrLQW5mFzmzVIklRgXwBBfLlo5+rmMfoPEONIymdZVeRu8rH WKYUEsS3vdThbEU+I7AiPagzK7oGx8nBZBxlrnO/KdcTiIZOp9BErRgalMFx kWwCPNzjM44PTrgYVi09QtCliBwltWTkk4FVmLm+lahwI2V/9vpbWI/Q3xvs qkhjQ5BJGmtzZtlTjNLH6Xjs3nVZEJsUf/XcEWaf55h4xnzDWzjN1JpwNC2Y P8CdTrGsgJLx8b6smey1KZ9ASTrKTEacTFUAtmM2w7V6EpFIPvTbTATk5YNB YjdWAvujadBgjt1Jim5FgJFchvWe0O+Sb3HPE4Fn0GZr62uLaCiNixFOaU9H nHIl3KFRMjeCgU4uydaR5SeuLyLlD3lcX+QWcGsH5Ua1KepQVUUqML4uHgPA 79RPVR+yxclbdD2Lpa8q03PkxRjRvgF5CYgz8ii/yFu4Y1dF7ztZ7qiwDVwC wRNOinibWgsy3vCO2yIbQvmeGUaQM+RYooEdVjRJBVMGIh8ik1hIQTpvyoaQ KP6GPmCKkXSR3umKPIuUIFg4v+ERsgZ0OkYSTMTjYHJqEcemcsY/v6xluSCM 8G9Izh68Epy908+epMjra8QWFbKDRgN2+BIXKJvyHIBIg9LzbgunasV63Yuk aMjvzGD0ia3DF71dYiaNSkscbA6w8Ksz2Nx5JZcoZC/1KdQuuE4/zS7jiUwm ISinI8GaNRL0TueAmiN6vE6WX4gX6JXGPFPYJ8MfP/yk9CHoCRezJisf/Z+A jdAp/W/WukeX3W+zFVGKg6/x2EvjKZZfgdcayeLBAbiNtIfe1o58nK0hJmQ4 oVoBJvoFDdmLw+wM/+X+sYGSn9U5SzB7FmItQhEIzBXcl9vs8JBtwoAG0V4H At67+dfmH1lan3sxf3Ri1hClT/REgHl8dHklyxVFzSSMaysK4HZoy/0+1XAN +q/63cFA7XnezGjNxBLK1Q3su+/Q+LwQLJNtGhRIA+/SLRc21DtgLvuHJn3M 7XRwuwIO4XUGFFCmLHmQY3B3UgnJpJ8Sc6q5gw+Ahc3hl5aGoa0GKOaWiwBo SZM2ll4FtqWGKXyLI0EVf+tQe+ZUDVMLBq+RdVirz9ZLm8LmvRI6J0h0KsC/ YRCrFuS0kAqv5Ua7rIoaGpjcVTUcamLnUwpnw2VCFcxbRkOwJOjUykQmwsNi xDlE9jQqrGJtYy3DikxEkBnXSPss9pKduqKCGofcvwiLmIx8VbWUAFKKMV1a 9WN7VKdNmwz0TS4Sr4A6KKA+Rs4mXSnZQMMjHnYgRNADY1fBS4vpiohXBSvu Mo2C+XT5Md7HCT6dKhDI5uxhAim9UnVGWJdNzwuIrrkU/N0B5WUHg609Wa5X KpeRtdlTbl9TPQwVJP2r/4eqhXnUSTJIY36Nk2R8g5NkVDhJxjc5SUa1k2QU nSRRGLjoJBl1TpLxiJNkLDhJRqWTZMyp2PArnSQD17/USTKwPrLgJIkixKso JZuTVSjos+ADnyMuyq/EsplWikAMKO9Z93odguC9vS36oYHB3u5eFpqUUi4s 7thKsIRvZW01XsNDtcC78q99rGHSAz/inoMSg+bS/QOtW2NeZTPJCksokDdR zaQZYGGPSuVHPSFXQArfjME9A1GIwS9h7ByrFiyqnBCOnuSakWVfgy4B8UUb CMuVQp+Z02VLgnuibfkBFgR5hqhP47mPwBp07uIpvinYfaQ4xtfEeQO1sGwL CzeYO/EDFA7wMBXZG/LpiK1en54G2XrVk4+DNA6esraXL19qK6n0Sh6j8IEg 5COUYy1JOVUeVppITgCuESJeM9bID5GNvT/QH6ElPhAj6fIYwoF+l0MKgmxv 9bboeZGtV9u61zoCOl/TLRWxYD3cmrsGCi2zYqrci0Qibmv2rdiDD8/pXNXO rqS4wgZtKSHFCnfSMPRcfFCONRrKrd7pD+ixip3+7q48MaQJv8PHGt0ES0Cv TSGRh+z34/Phb78Oj4W/0c0lui3r7Uv8veDQZQPYD6C26bJU3BRWt7XFoZT2 echAuwgbQ3IsFk+mpbMz2Owrf7oha4iUB0ReR1fr0Pw+pULV8jUSCzUKS36R /Wf8oJWhYdVto7Rd9eSrYqplqPJasDaex8JmJS4m90nXMthnf7fwoLu2l0Jc MR+vfGxDLOdFaSJYFf4MiWln3wL8hoQxi4elKpBflM/030Cpa2JQTSi7wrjc o6tC91XD7jLjklIiQ9GSWQtCRFeuKi7CObVKW8FYRMzBFj3CtbO1uSWJqcgJ +uMNGX60+/KXXLIDpMSLsu1rYAYpxKP9wIQ68hdsQXi1zkfIh8xA34TMqtlJ qg+ZFwTXaZi1qutBdonpsnys8nEnyVSwf5ljNO7XHwQafbL/vt8QWcC+7NGg RdiKimwSjL6KWjzvL4jgCoq/IEKlKq+DrLLELufGlsPoUeICgOTAldWH4Zez L2dnmCzAsAgw/eqDlkL6Mtb/KeeWjFLDvJAqekKCTv6oxmBAcWPxkdkstaRS CkNL72J2d1ZO72J2lxrLiQ66pBhIYyPCyOuMG/jrBDh8wn2DnqASWeESvroM mrbIp+TRnrwEPXH25PyMXkapGMI2KtJZhaTZ09EXOO6RGUpsVwTKWW8hXVV1 3BUZqydR8SPVMH89DTtfP6pGyoop5P8YYdvudbfoF2xE6f3/M7PXJJfx9c0J ZqLHsgxwtSx8IwqBRbNoSQDews0zP0KkkC6xXzlIxaOtO2i2Xu3IwEieCsPn Z2YiF5Y3RtwLLKfU6EYWthh5i/ZDav9b3rt3tZFk+aJ/V637IXLoVceSkVRI vIxpqgfzKFNtA2Og3H27e+UkUgqyLZRqpWTMqanvfmI/ImJHZGRKYDyzzr2a nsJSRuyM544d+/Hbbkm9ekpkk5H3E65Q+I1dfCDsdrW7tq0DQzQUGsbP5/oI lSHj9jkzDb0Ew4X4XuaGSq+GSnjQajI2BmXLQQoh3BD4RuXk3cx/K4bxoSGT wjhqC2sYDoG55jzvTyE8F0JMQZAFODkRBXh69uHo8urDqUezSGcox00BQUaN IYTP63B9hzYNMAp0peGRSh23hBsapAQfeAlFF4IEmCPISwE35SnelBttdemn eWoaXAszvAAu8PCAtTnmYv0VQjWpP4zc5sah3yXZOHYlUY2wd8Amrgz2J4WX qLJDKKJWSJFiPJha/01tgBPh3qpGbAprS9tVQZqhFC9T6rj6nEyBNfdhx6m7 w/A+vWZMsSm2pD1lxI8iSvpqBkAzi0xPEYEhuIOphgpaTiSuDcAcaD0uLWs9 YhqDav/q8uzw6PLo4DL+df/d1VHUI6FqE++q61tGquJI/3mRTmOqO4iLlHAV khkJrqm8C64urzQr5td32WxGY1MKo1N9mEDcyzQtgAxEpYxB2XOXjB9ctVrh W0KxyZUXX9XAXwGFsSBlLcwHBma3X0YYofs6us3vVXWAyMwpZlvt3mt1o4cW gBaZ7J1rHM/2nxDQxhPxohV19c+EgYb1X1ANHb5lYBox8niasnYvHuU39Gis b3Z8R5jk9+p+RdorgjLZ3Gx1e/qWzUruYtqPJ/cDsuF/vwqj8P1qIBpXIilx VVlKAooyyIyOgywweh8V2CZSGlcFyhjQWtit6YDEF9RtibDtjM3IZG9Egloh LmOvealiMDOx+PUuhLIqHq863yP73O/MPw6Yu6vhU1s2U2MJ/r0FBUZHgBUC znXTTC3ShDTeaOCg1YOiALNX2E0w9vm8ICZ0A0sOeFJhgv2WK0tgqKgKgk1A 0aQI6AYkWOGeflH8PxlCgHJ/pNbLfGIucYK3Oiv6+xAf/Z5iquXCZ0wvwFTQ CqlV9loRMeYPKfQjRxM8KCP16yPCj1C7bwah0BmcVqBJnwr1FtnbBVaLhaOw L2eVgtMai7tG1qyI39bPRxB4jYQ0bY5gD/BpKtSHEPDkJuWjNJl/ia+Twpjw Ih6UQo1LYfHFaEFtbpNj5Nr2jnaMjDA+FoBpJvkkalz+Gp/vf7g4kqob4A4x ifcxiPdNEiVpC7E+mPeTYYftuuG0w6HmZlExOz9agXAHZrsEzCA4vBr2hkWB WCAExLwRSJmMogieFR0UqAnEK76HXcIYfAVNhe45Rg+iSwLocot8On3AbzUj IJZKCf/Gw7Gh2SJcBAKQSYu4J6ZrZwdBWLvdXpeF0ShqvBRnXvsnqt2sWS/+ 9F789f3Z1WWTJ0mN6Rlt7gLc4ovZfDiEAFR28cIdp0ZirEN/0ay3AP62iUYk UtmhpwWcXNx369Rr/eLMBOnjW4+TGThdSU8PudCRgrnbVaK6CC1FuQVdeORZ iQaRFnKwW4R1ADxOxTwRI0Nztwc0XupTmci5VIhzIYOf5OpNpukE1nHXYcG1 gxpCGETkEeLE0TtEM/JzODgUj24POp2O8UdCLyMyOwnH5q2tNTj9Vrtbr9a0 wwYS2VedGk1AEcpnyS7FuCZ0jMJlAnwPwGDoAGSru+mLAl9GB5dw6JOS7ksX UPmlJgiIyQhEAXgYGmhvMlPCC8MpsFsEQy+9/P5RFYHF230FbIJrRw0sSsTp zJc8PiHZD+29IHRhJPsIVuAUwKXBcjxGLII+hBvPlGD1oAQz3MS2CMYRY9gw hWJnJAi4AAeW0UQgYbDZiuFI6IoZBEN38dIkppEaEZyEAKKRecSQRfq7YpQ/ /3wQnx59NIBSemQLWxYQu6gh1ZQQAdEslL3gZDEcuzqnZgiAJpY3nV56eZkH 7vGrBXw1K+A9AGZSxce1gRXnQkOcGPEDCauKSgBn9mIENXfSjUQ1zcfEwtLx 50x9AU8+AN4dwqzKPUaqZL4twGIhOU69+oLujGRtBTdUhhwnpZJ+IXixIY39 Dz//uoYQGIoPvygi9uESm3e7q25k22rzbqvLhsFyAP6LsshtXszabL4HOBJ8 O15FDY9pvIRCfIIR9hiVK5oNwc/FaMNg3CTTazXUbZY18qn2B4vgcCoIywM9 NwDiHuCsWFMkuEFpGoOETUNxwhAhK7wIxPvdOvQAQf7xFHnEOm/XrvP249d5 u7TOAwP86OXcDi5nJHuYW3AwycOIP6lXTVKMo8n42qJxGpD16GnyuI4WZiSY Jrq4sH2pDTd31s1EqN9K4fZtZgWgMUntpQYUxCdRnh+0onf7F5cgNe6/Z8ml 1+t2yfSzsSPMeNTx2ShBAVeIvNkYh504bdMOvRJb8DzVv686v2sSaEnUE4Eg yk6/vVVLB7XdaaDCQlYxydK+lkW4Eyhf9Hqb23a/Sp1YzAhdDXkMOWkKHDga /aYOI+4YVskTzMimcPKQy7Y+fVo6zMydd63gE/PvXKGCyjmdjsMbxjJDTZWk BsIY+CH1SYmq1usMk2U4Wih8GRGkGrGtwe+k4XyFEDarvfX1tVZvjccz/TJR DAgUbXcPFrlSC05mVLNpAor2zm05vYN6RLPRV8yxkOkdhJta265yd4+JJB6M f4I39XkfelJSt32/WJ2JgjeLLshioD8MLAWyyx6bgfWzXW3+R8hTc5PTrjOV zwLOJfDxS5KmAdw71F/wnPkT/fI6Wrnp96H0CjtY+E6+LIIE7hVM1V6v6QTD U7cNU1caYs3iZccVlTdXJ+8uT04v4ndnB/sEYc4XL3FVY0bGA0JYg2skB/fW N3t6KRlmIlXH+hbEjBu3HQE4FkaRER8eHbxDUOUCPagQIccFG9ZSf8ono5Uv 0M9f75iBy+LTW8HcQQVGesAe0D4lZVJgP+PYtGwuAWgO211UvWk6oMvNUB26 +T1pB90zg2T+B87KwGo1poBOGoCUBEoHviYJtB8rcZW8IeD6y35e2pmBlb1q UTXtahVYd88y4NbiVD/oBgHPDrye9cM8yPjV6TUzqtDQim1Hod0umb7t6FPf wRSWec/vrvrIZ3vyxBtGg+svUfFwd50zvmikBhsCllti5CJQfOAKIs0ebxp7 9VV8nFXfKBvKs3FdXUDhbNzYWNO+hOgsFBPeUmOF/vZHOdowfiheRz/coXfz XSwdznlujZbpfpKAl818jL5t2TBGG0AyRcdrt+qqvp+Ln320ROYFMXvwmwAX 8rtyVWWgSTG6l97GGgXdbGy9siaBKGBgMGi20UU6s3dEvW+gVx1uzjF5N3J8 EF5Cr1NAIyiiyw9XR1bdPBLO1YCWx/X/HdA0owRPvVuIMMfUSsg3OPsV7u9k kFihA0eFV5rvATie31mbDXh9oV8W73Lw0aadvqL+zrram9Ny/UPkT9Ci8QPd l9U0gj4apx7jv9llNe9j9JSNRUL+YpVrfHb9xkPfJbVXb+PVVmudHTNdW6Re 7Lz7HnPKo3raP+KFh1jwgA8p15rft79zFdOo92JS1jBpl2rghGXfY4qacY1o DIH4nd4i3tM/Rs70VZfUUTc27qamo5are1T+gU6V2Nzv2EGdNPoxaPR36eeh jm0gS4daOn8f04XkNa9IYgZRpxOttKhO6VPTBH6NeDFFTrlzgGXY/2Qymt8o AnCswApSG/b83dXPJ6fx8cnpycXb+Or05NIgNVMfnIlDUtAh+8qmbjf7Ceta oMSVErWu+m/jPObVa6t6ojmV/53nR/8NDSeFmThu7mYVPXL56iCs0vDxtQnh GPS9SvEZGsvIjKXGMnzqYJfG+neHNSNodj4B/TVCZcMVDcaDDtrsTp9HZW+S 53WL1EQXe5MEnSBfYVjyK+3O6txc4PhmszbdEzAWRXhjyNK8xJYpj9uTjO5w 30Y3Bs9NQZasMpUik5VNADdgdUVLhZZPQ91rlTxp5DeNu7qTNQ+acZeqo+IB MgnkU7U0dXY9904X0p3uiox9VAgFpJLvCSvEyeUKr2AjXC5w1t9NWtFddnM7 A1kTL3sZ4l6SJfpzosRvo/Mq5fZAW9K3SMtFhBfm+NDFAum5UM2yCn9cX6Cf L/8aYaYPSOBAuQlu+k2IAqasFrN8qk4OGjAbUHR8dYoJpVBQLzD3TjIlawLT VdIkauDyqXdHXvDCAb0QnU0YphpRySFJpI7u14k0Ila5w1t+xPQbwLdcRQd6 wIgMHS74NakO9udf1LkDIqRJEokv/ZSm6NuD/ih4HWNpxTpU4h2FA/HTsVHQ aO8OZEJIC3XDaufMR2kkN6jb2lAODjITc6KLwa5f1k0N4RaG08bxtIGWfCZx n5zRaQjn409KJoRYR5tLwJ8u1HFS3RhgsR5ikPj1voYI9J1odWdjnbnYb8yg /6zGcOEALhg8a7arGcK2MfUvM4hROA0WFXMiMbyKpdcsHv+Kd40RX/8lJdly rg5GyQV6L70krU9RoX0JTDtbOgUfqiFXuzu9nt7mpHv7yoQ1MJ224wSGLHcR hyAjFfWS4d+6W/8gyzIQpERadFOYpgV8tTfRS2c/FxFngzVbW4fJAt+ZCq5C W51XBtsXegQDXMCxoV1oyHoBEQLjm9REeJLuQ6sSZuY2i0Gn5MujrSptEZBr Oggxuf34h87mIP5hsNLS46c70tLjoyRrQsFYRMHxmy1RCzEwJK0K86UWzjm8 5JrFQwlkzAv1hYgzjdFSoHQ7rtat5XJ3njleYduQyFctMNDUblt3I5FGw0tK F05PxAuKl6UVPvZYOwkJHaLG5eEJV7UyoZPMTFR0gAaeTFeeekxLHVNsYNWH lZ0PLOZXHixXeWArV59Pq5HHgMoZCiWvsQoM7TsA24sSD0IYAAJp61OUPK+M 7un06GP869GHCzXvyJQRgNsPLZp829iiyWOCi/zCgeiibUz3oH0/tIfngbpz XMD/xwfn5/HF+dGBk6lc4HC7gUBBeGnAta0vt7oMPVl0AcmK+IjVVS9Cwg+Q UHevAoMkvNiGXlV4AzEjjTClo0s4xgiD8NZfOVgufuRQKPghGO3AcUJeyINq 2G+rafeljGpYHMVQF2hgUveWl4AXwFBVoHLw/1tGfYM8+unPf+e4L47eWTyw Diq9t3JrA6vq52L1kWSrt08prKoUVMUDImd2YXwVjeZLg/L5qOipx9XwA8X+ v9MnedP+hmfQ8gfQMqfPZqvXVccP/nn0+YPY5oCgWZF7ofYpQZ9X1p3UPSVc 84qnB3w0VTwlmO2Kp29t3RAyLUPX2sbVFTK9rylkB6GmkO1tdaG3tlvVhWb9 /hKvu61t+Gr1zDsFQpPvFAjNv0shsAScAqFVIAsEF4JTILQWZIG65SDL1a0I t1z12DrlataFU65maTj9qFkdslzdAnHeW7NGJINfIPA9r9yBykPjqfXNpY7v /PPh68S+Z5b7qqS9Zx3yTbxo43//7xT0WCB7FuluAS1/WzxG6Pm/QOLJJkl7 Mp+CG+24eG77gk+8RuYpFw3YGdY28WaIf1kF+V2ECoX2T8n8i9VQWNQrodAx yitQQsZsbslGoBtsYNbj6Ke9aP/X/ZN38dmvRx8+fji53H/z7ggM7aRmQTWY JgJ6lZQrovmQTenwnB8WWnUWqrNrbKZF2UBO7yua1qxeVNBxDJoGn5T+iSo5 IoX51V00FwoJh2CR5510Qbdmvp1Sgal+tbWJ+TTpHz2NUB1CuWO3bcDAsW57 HFgcmSBwwsOLKC0u+hCm9zH8q3H4Mb7c/1kHrGPMVothAF0FnnVApIUG/ipQ C6sgLReSVLqifUxfoENctQ8t6pIdckJvey/cWcihDaGCMfvdZ3RrKyempZqj 7O46B2psGaDvYwJl1IVoRJyX77oEsDK5wB+gD7xLtxko3v6JyIaJtX8C4wRM miE0yorZbrnd8LMpRw0PeaQ9flZNgKF2StB+QDzo4H1O2dVNxHVD0W5FIsIN L2Pd9U1EslX/2HIRiJlK/xYV4tAuZ5Bb0OrmroP1aybdRt/o7PRiW5eGhzgf NWdzh1zHu1vd9VZ3bctGp5F5bpbnji8UCQ9qG3E6cyyK6cZnUyURQISYV6YV +QF4tAnF4K4uE6i3GnT39NIXmzhH9nT7APFL5PJFAYOAFDAzXpkRscc6p3Ue ZOG6jqFISTGLU4qNd332TDOHg6oIKfuE9OcDGzdiler6x5jjP1DnvuY9y8Yx hLbrFLThKVo1U6SkNTjBvAKiKoTixdPZlxihrErGA0yGDrYDr5ygQIiho3SW ol22zgBRKirIOEvf45EIX5ARJsEgN+Pq1cEwSoyaQ2PbjVqchLHBdnL8zCf5 WIY3N5o6EPHyr+dHEICqzvX48OQITIKwkjAGYvwg7O34aUB0L1S7Tx5Um+4R JmyUXcMxzKZlY0Eko6IS6ZLrotNvWiIQ4Al08iFDC4BtcCqCNMhujvAEJk6e 1qwl4p0W/8yvO/bhiWqCOhda4EerxMzPYHQcfFY7TB14cDCoRqNxZvrZBoQE jhhSV3LudRxiWlg5B95PU7Tq4UYD7DQAp3cOGr0aGoN7ZJgxiSewKJxX4rGA JeyhESiALNN3qA2Uy4dDmEOZhzz0uutrdVAuKnUH2SW8xOW0dCfza1jOzt63 y189xXMCXReoy26FXYcSln0MJVFBUBoRNOAwr4vZFM9tuKX9sczhaKTwZJFk Bd1SCSTs/1qmDPbguFAyXlXnLRcpFfXJQLycJOJyQqeAX9XvWamq0zEp+1VW Ew+5Clyk1YGfx/1hBiEhDuqALR7jkcPeMmKtJ9ObIoZYZLMacyU/lH+lTg1T 8G42NvYCRQJvdAPF9FCHq/NqCUoZyw1nYDTNOXAH1zD1xk919SvK7S65EgIL oW6Zlp8FTulSEeewhokDV5Dq6vKxVxUfFeVWyd8DJJ3HYZLXDzEC6QSo6kfV hE0Jj/YtR5SRJygsDxdaA2Q/kglLXgfm7oe7g6iP53fmuaIa+DHwS624Bg2A AAwZiwqpOwjqW96Ek0HyozrXIbvps96EBd2am7BTqnwTJgzm9W2+VmCIxREw cEgUDxNCX/jJMd57+ckxX4LxCcFs7NIT/vL9Kj0q1CVZl7uQtC8k7Qt05dk1 T5ACP4Kkg6YSfmHICLwXdbc23CRTDKpwpSam6Fziim9cNTvwPT7VN3cMtpI/ 4QY3vr8nRfwBeBfAaQxU9eg1F8bETvGlOn2b+kVv8hzQfpTAhzTQVUfLARVt cciTQADt8X/GhraX/nDx6GJ+zQ5hKKBB7A40IKL3H2fpaFBEpvjS1BE/sItj vtUVGDv8VrWns4JAm7700wmBR40pHgiRxiEmj7NbOQGBHRvvYi/c+KGV1EGo p/hiBrFX79Ri/jmdxQcoXIk5eR2dwr1uxcp9PoGTsdqo+J/TZnWpd2DobKyg bD1UvAVlb5w/ut++dt8A8vWVKdI5Bs8mCAGhr+8UFwF/1YmoUd2sK7dZ4QGI 9n6KsPNeV6vJUmmgXlvhKB/Jx7AeoeUmji3SM3xOays+ghgni7IPIzW0eys4 SbRxt9a7uIg2tlrra+WNCzUv6KqOy7ZxgbgopzrHj6Yuyuj9u8QqpmLRG3W1 h8iP+D3Ej8jXmTKLSbk8o5Ikj2rjNKJFGp9N46PxLJs9xCcDw0bwyQnFw2bm pngyjo++zOL3F8CCmNOYafqAMHqa6skger0XHYF0YkpgU3ACLuA6+zrSGpIS O4O6pvRumZPBQj+BhY4MvcN4ivExiJvAQmM886LVqAvLnwox+bMhFiv8naDE LCqHRK7g+G2cNKMf1Umb01yoK+XYXbKmidDeQG1vhatX0AhCzFBgstWqCrxE DO2ey7vN65sdXNy7pYocMub8xFtjteoXu9f8aXNmBedw1ztaqKH2DKlchcue J/oUKY3bASwyvUWWohQUg4pvJAYVS4lBRUgMImD+jVcSlr+N5rJG0owO8imE leeE8QV+M7gZEvQ3l2Wvm4B4BRGaWAiVLaRpUO+eQpQG/I52OK6hdv9HJeK8 SClLX6G5Ezzr+88ipx6/CdpAbyrm17AiZTElo1zQr6BWkb2YUWSrTQ1YzObX ziuGTcVuBhkhahKIyQDeYSULjUFFzuikHV5Dezv9YcYO9PZFTWoygE4pUUHJ pXhjbSJQCKaXxsG9ziHYl8YR3eCxVkcSzEATiFrWkZomiPEes5s82jMG+QRR SG+n+fzmNgJFM6yMXmdtu4m6Oahvpw8GpCjmd0yiAKRQ0b4o6c8QfgCGErR1 2DIMsFJlCsaSK8zcZkXETssdM6fmB7vA3ub3kEBRZ1UsOB/oFJTZnejD+6i7 1ul2NhobTZ3ps5Dtc1dggim59KjNxyNAOEnkyFO0kwMnVYDJCe1OqvV4JQCc 2B7hxRrLGC+KCHmljj5AyIYhYjz6OQ9w0cACQ9EDgrdRPQm9dMjhY1SU3qkz CRIEjR4iE+HZMac6lHWEY/G7RpwBsVYrXVXTEK8WorryfEzDol8GmNbpoOOQ OMEnbupzE84jUCQISAuTcuNqQAzcWe7QwpDyjuKfNFUo+8pDJOn354o24kbA wNH+RJYyGjmUhJBccKgGA9Fy3jBS/4I+DzZq5lw0HEomMHBqbzVo4qOuQBAt Aat6A5t6NyHMcAJ4fEU0yDDoap4Vt6IbRg/tEHLfKlOOmjfrPCd5kVrWonrY MccWtWduRXGaMp5v0pZxGjSDaWtBivSqN1sUteL29apdcLewGEGd6IjHNUG4 PJjxwYB6AAsV7laGGLwHqr9Ta4uioQZzSFJ16cCZSPZJ6H2cXQzD9c2IUbIX uEh8TfMsufx5mmf4zBiAnAaQLUesPHiFuCbB+h9kn7PBPLELFIgSMU5KB+Qo uyxvzzElTv4MWM68lkhVRMvDykUNO0ikzjb0DMhyMrpPHmhjBVdcE/oGNzSk aFf+ExvkvdeQW/r9dCwcMvo3Uj7X8WVCcIc7nZIK291dMyMIfU3TpWi7NYkv Itw5pgs0sNbUEB2qxfnr8GK2sbZmj29zvWFRksR8FBFqtSJcHIVzrLPrksOK 5vawkJy9Z1xS8GaJFpNZommmONOq0fwspGWuZHou1M2UV4tiP3CwDngKcL0Q u5U8DFcvz73ZmaCzodtzJD7BluxGp/YCyD4Dm2sYq77Z3Wj1tkoTWX8heqfu 2q/17J3PptU9vYABN1ThiL3OP6ctNO/pFNO4H/QBiqiykFPqW9ybd82Gq2tX NkZ8KDp4/esjmqYNmYxMn/oUMTtd4q2o32mWuJA4zUB1ZGgpFoD3cpAYT6NB npKkN8pSVHGiLPxQIlKoJtPQRHwP16dDsOWWg71J+p9uptCC1+a3kxrvIITk YqQ+isojDnjP9w/sEfI3Qw7EFyWwTCZpAgkN7nKOzRONd1IBOPo+M1Ms9tFL CxKBETtogHlXARvRGLAJ7ppAso0M5IyK21wIqL2GBk0/cUZJjjckpGTzSiwI s3FtZRaAIhun3EmELDNo+bC4tCRpHS9RwAxIUR9vCchej6nfzBZ6WeWKEcAk ZoWOKBfz/XM6TsFJ1rKllgOtX7+faZOI0whsKK+jj6oZiFkPHU/RgGJktMLC TP9F/agZFZ1vH8+u3h0aatcpVYYVOgzMAThULB4ktTTRhRAbA24QJAMqyU40 CtdoMSPoYjVasGeUrA84nTM7cbRhuK1mCaTTG9xDijYOEHXLzOn+uxNzgdOE AD9Mjak5vUmHCnlCLJMGiQp0px3So9oJKjpNllrlJJ0byDGte6rlayV+q7nO pUhCQOcJ+s8h1gQQMbuSWYsAwpulX6yCALUGAgeNuph1lOzGNfcHSQvkjXQ6 THAKLig9s3oVl1C7hPMKdRHXanut6xpjjhE5DVXF9MNrt2ORWOCgYAoXeZuo U3n/4KM5Csu60MMHddhm/fholFxXvEgd7ZxaYsrKNVukvZgKv+hsP74gsFDT loNbtQr7s9Rqw0HQtiVcOu1l6Gj1ry+NeL1K0XkGssnsCrWCK9ab+Gm6AvVH CRjS0nExN+oEhJSHU5KJUcLKtS4n/5QQ9OUhUvU3N0kqjtZA+RttVw85FN6q KFwaESi87RduV4wwFH4lC3c3zTAuLgyUq8YcCu+E2lwefTQHgYT2AX9+cQEO FTDcW2vRy+jVrq8SReBHwM39FuZhSXyBctQtGtCQrqGGdE0aikGCBostGWbp m36EJntts+Vv2lY8oUpsLFbfvm9LS7EwG3cOdKVzhCOhf6r1LP8NkgWLvZiT c7MnG3nRH4u3wTf9IL2TD9Q3+yDen3/RrYKvB7evdk05/Pb9Kh4SNQXsw4uD k3fyO2ycXVMYv4mn59Pkxj7Fb8RhlUgPqdvUn03bvUv0m9MDzd/0M3CRNPND 38BH3R5gxzzrWnN5kI+H2Q2+9E4d1q/VCadupycEHkPCSIJwuH0sOGdV4oTK f786UXInQDuihlcSV28lY5Kmc6AkVnL/03U8qFfUTYA5eVp6pBVhoNIyBEf5 DasPIe29SXUA2T7UAOfcUgDmaZFYks3YxEvnuzrgZkKbA1pkIxIPcs7qPb4p /OQJfL5eZzdowUFEdE5gZci9yz6lshkkv5HIk1ndKHSXFEvQ6btkkBIaLGYv McRAnah+vQOIsQSSdGACqi8JaMNbIJJEnNH4WgwcqIUoQQBuU6ssUbusj2Mx B6oEO2PvpRn0AriiXTICQzvk7wD2tiI+Ee/1rGtOWpmSIcyr+3oPhR2OrIg+ zAolqQyEEowW5j749pV+Ja5T+vko/LPWjpUeMDsI/q42evB32EClB+gw07k6 Lj04uE0BcTBE6GMyHZceANNzfuTBe559JamR1vgrtpYkpnfZV+wuSU5uNPHz s+w1Qe95tpsguMSOiyybxGgcM5fo/JRMB0YUpUMytBhCe4UflbeLaF5510Qm NgjvxGqMfgYDx2D6WdcLbCktd4V2FT8LbSzRkMD+WtyS4ObjZ8H9x88CW1BQ LO1CflbaiDRxBzhNHZq7WM+Zu00r5lXMPLQomuRFkcHaYLxrm5evELbb64eo DUan9NBk5zAdq2hLZDgJiE+dc8ZvO6D0MegEFV/gO+ND+063D1/ZQtvXkyE/ neyh7dbUa1HKGoZO1HGumJdPXStx98u5eFxX6P3qwDqXlONDRRn10uCHMp5T lMZY3zpQa/xOXbnV0VRR74V6fDO73XWqkI4zaqBzjCbSrKHSUczJkLCdg+GI qxrcQMLHats3nZn6qvG104SZEO6zQvG9Ps496SCmqdbzSAK0HDA1JNvgYDas uAZedqCGA+udW9NQVZy5I+SKpabJChqPmSmv1hMny1J5ynzROKMDpD/ruNN4 DRe0KDy56bmmR5BbeobsAjnN7606wXtfNpsnOp9oWsCBlxW3sALvSRbh0EEh zycDq1Bm9VXDukmoAuo6PqWTgI2j3knTNKvHcyutGlhPJo1cwRD+I/Wrwm23 dARYdZ0SHZSwlKnNN3rQSjvZpb7Rwl7rBF2exA35WmN5mdKsvfKyJmax9r5m OCD5W3ek8viYXd5z5wfJCrUkL5djW/Q+GTCuNS6CYBPYpUK1gDVUTIBRebVe CNze1Nn1MEpjOnSENVQ7X4M34GTWEaWMWolsQp9Be6we8ubAINACQjsxVSJ6 RqB2eUBaf436vE6oz+u9Vm9DKMFg1K3ZTWt/nKvQ0jsCsglcp4pTyX0hqbhb JLg1OuyMunjB0oybQnLO90m6it+hg4zPUFG6Zj0aWqrUPiQtvwgf14IZMZU5 HC750CMiBkGEmJNNZRzKQshpoJa4RcpR+4r9JwbqcVvjsS180h5ZlQv7Y6r9 HNi8xUS4GiqCxLoHx6xhiy4hkoxJyXMPmypRN7+in45GyRijPEF46CSDPq1l MOmicRH4taAxUHVyxUqv0bcJQY/BJkWyxw0YDfBfD45bU0f1gF0Hu61eFwPL t1s9zghtPiVvdJdJmwElA5HoMJt9h3JYIpgaTJXJgwL5NoFB5ON/c+fnieSc c9RQNlEOHqMC3lVicWq0XF4mR/ogmczgaKDkJANIx6OT6uVRMZ/ASilM6kd0 POD2WtYv28I14jOuAQ3C+Ej9xHD5XVdsZ/kCDXskYt6xgO8k46FNN5ngFV/x DvKsoauvPfJxLC3ysc7Qq8up3anWMn1jXzFDlTI8eTLvVzfO0V7kz9A+c+C+ p5+F/0vosnGsagt5BrzPvWogeDaDEuHil1hetfR7ggISuuMiBDssJsA2h5Mk u8HEpHTO4nAghoBNH0mJl9XaSqYPcqC/lpQZ40PELDiGzEuH+Sy+uHDGNkBf rfoXFy92tRxeJnF5uQyJyxdVE1LRIjsRNY2ykmx1uxYSunxRM4cfUwy7xDyf 1mYvbgcycTMYgzG5Ktig+XRwNjJmP9PiOko/4PwDSkO2zad01IBrCHMzKIWe U9aN2nhwynPRzDua41VXUYk/nE9R1B2ksyQbFU2HG3x93wSxr++eVGN+TQ9p 8oCF6wSowMJjvhlcMBVruV5Y0Nc/DTmzH/cKXLyVIFSA7PMmx2wIcJyUtUJL 1rMXEOksWMS6WMPxORTBN01nIx7cYuCzjZO5VKLZJO1X7cMnvs1ur+oXVu4u L1NrAVrjdNpRIzLTUQsggtGaMikCUUctz0hPkaedwlGXLOiCIA0uWELUFp7P Q3WDACt7mxbtNchyrhb9K1tb0nQ+scFy04XbTANsmxtfMD07fa2ocl6t3enJ BOwMvyVVCw/CLd8mpiQZaC2b9EUnfxu1U8hdTlhHsSRuJALeYyc9cmAUUQXA ZVBqv8HMruS0ZW177F2Hdg0QFaGOyxefoc2ehefpzZY2koqW02AfqnuGWkox 6Duixnmi5upAsk+jEtn7KToGdzcx0U+qa+f40vHxREXUPceT0JlR6HArCJoy qgUuYV3U1a0MbHSUKIqiyuaUQHTEN+x+Nu2rexRksfQsXF/ZBqlXeHQzIrZM zmKtCZDbhAZMmE7qi1UoDp2+gRMuRhu1aJmOI40ART5L7MTpCwx8zYHlY+6u +kh3j1o6zPHlHP1jaCUERfTFOAlkU7MyBaNyJufre1KWDb6qM4Lc0v0xx7Kn YlTXB3Tkw93Dhy83ZKyEC3Dig1vDe5DTVVmtXQVWgKXlmQ0N0nRlg9EAa5uV AMg9ZZLBiLdC1kfPxOlcCTIXAMrWEqZYK+TNFZ2ROx2Shjsz12kfneNmkGhH tygpc8Dc6QcblXMl/s0S9Dud3cbkZqdEyXTW76gTxOhn0HtV7Vlp26gdaCN3 LDvWXCGgv3vSiLsknjzoLpknj7tL5qlDb9RGWtXcNmR91a59oS5rfwkUN5St w5MsuBcRRETDnfLmrih5j07j56TuU6eUKtIk/4e2fDNeBEfJQ+yQive5s1xP bjrz4TJchYvuusXKUeuOenu5TjmFq/vlFHtc1/yq1b3zS5ZUiPQ5BQ5ZHhGr gWybH4IXjDAh52Ep3l+Tq74+DOHUwDss2CmmGXmVq6vLkQZbUd3NJ8kNe70A rwAZPSPlNtZMrISZfpmMsr7aUXTVRBUmCd3DCNwd4rOxuheN1fndB/dt/Y4W 8R9UrOdSXoU4ioRIXD+YCLPMthqyo805VMCBiFFXqcFI3S085d3X9FaS4o4/ ucOefGv6/vg+S0qB7ptD94PtcbyPOV6iRlXPm/4pDHOtCtf0yK9h6b6lpsRH ttmlY7uGsPXWC9+6H9ev8qG3RNfKlep7Fz4ol+pj5U4FCdBcauxNRp1Dn7IJ jAPYnuh6hJFOcEfyJchH1zeLp14ycHq6ZOnIlbjwyA6InkJIhYfgYlYSHB5T 17wWIyFd8aixP/8SH6rDGHQ3gOXLCEfiYt6Kao6iMkmHPT+NvDNOxzamepZO yMmwUPM3oUApZBAAajGE6BhOKj+YI9A43LvVX2/wnk7QN74yedNcxLCYOXE6 oqf+3limtDxP2YyWzvy1ptY3rzVz14dbib67glmQJUuPmpJP5+iMesNhQ1Ef 7QKiMipnIVpMW8IU80/GBYYeusQwmlBdR3MNulFE/XQ6o8BojMpjWTnv9+dT HunZ9EGr5CwlgCaeTnJskLmAA4qBOujv02k0Sj+no44rBjx5cMpkzGg9YnzK VEIDVir0NWNWIrbksMklVeZbe24ImSPOmZPg9FM2BiQ/3MrlHd0Ei37MaDmC Qll89l7+es+fK19qLMmGi3vgiZJP64RDJCgrL9GVktzqS8xos9NyOTozkEfo Ay2iQTN4BXxkRflC1zKNtmrPfi0nwO6gwHh53VuGsluhnrg/TAfgqTSfOA4w qDtnTRD8gH32lUFfQ+LpWwcc3UeAzl+q462S0vWSPmbV7l+cXGDVZu3GOiGX LvDViQl66vl30vJ9Ctwrq7u1eKuFOrdwby3sXmAUEfEOgbjPrc/pBw1sVKsN oHqDcPuWbEvgJr+oOTU3+NoWRQG3KvOhs/Uux2vYDNXX5DugsbDwiBrk8nb8 EKSSfkn7cxPiIiLSO4HXusb5q6vKHh5Q+oD452R6DWlmjriRj+kjLVkIIIzB SbSQUJ1RpX7jaSNTIlE3LH5ZtLZoDDy2HA1Rz6fuhOnAb2F4EP1Vu+QIorMj Y+wU4elAZ0hMD7PM+JVHfQGTQudsRAiI7pMMWbTWG9yB08pNWpSOikUj1na5 hN9Dp8G2e/7hRZEtjF4A19336EymNtyJugGr3z5SOwPnnlP1amwBvuL33Keq OjR+V2PMCAIIh4upU1FuS3w2HIqGlecreDVffirEXfw/lCQNMFcMsgbYCuTf BdZqtBJzBE/RikTsa6Z1z472iHQ+hDpBim10DOP401/fR9eKQlu12kC9ERCe UHqzQgvqzcf/wsZBdI1pk5bDKWgNDipSL/n2Vb4aMAygTwhbOE5TBMmLXFnq ESumul7FcglUCK2VOrp1C8WqPX59H18m0xtM7HGax2roPbZw9GUSHyoG1OEF EO+PRhqhA9zhipIbqlR5WV3Q168fq699+uLRNJ6ycgrHo+AR60asNoh/x/YR XAIe/eCS1mFnITxvsBDlvUmKIu9nmC4AzDmS1CArJsmsf4umaQzfNCtJ4lzi I6FSrZjw9tKzHdZoGvEHG++tIfbexoMsamgcAB1yov6JyqXgzcG5l4DDZo26 8WsHt/2kkRVTcji/m5CqkhzqwbxW4SdkwTgXWLxBAwgWQm0htwZC7fEwi9BL NIkG8HodcJAUqUcN/X4PEb+JApOnKSD7wECoPclNNslJpAZSLJ/APLefY5Lb coYDS+xiMoX4XvY+goGWM//1A99+vlFvP8+QuzagxHGftnEyTlxp2bd7Phlo SCimlc1szBpKtEQG7d7YnkKPGWGO6kZpt3Dj+BKYkKf6W19hK2NZ6QmO17TJ kxvg3XAahBXrhKeKqFA2OIdcQYRboGOu1zgBmgTaGpLhUKP9moLGV9bQcZGm ETHWALWSsgLx3NhZ0CpRWpx7LX0wpAAiQLxUqzQHaillDjSXacWU4u7hd3iz pQQL9zrlaMZc3WSxP1p1YpJbMq69enifUqf72qM14MsJ3oKjZMpNz+H9aq/d YkzRNTvDpYPXsPPu09HoT3/6U9lX9usmz3O/+5r5E6SeYQoFta+fRUnsGSYy 6On6hLmkfei5kJwALwo4kJS9R0oliZ7jOIJlHAcLmPkYfl5wrHjeFlCj5EUC cJSkUqbHoD4mk1ZYic5+GMjcbBXK/uCP4wJdn1/cNR+7/I6HzPc/ecLY2MrV w+OrD6pGqEpJ/6hBWkZzWD1UCzWI5IOCjZCOK8KTRfqdBOo4Diqu00o5HOzr DmxNyD2ti8cf1v8tUsQT2wWj6ER0CyCKR0SFPUp6kKIlKY50dCr+bFtSDU73 /Ek7JPHlwemC6Tt665h0Yr3VJeC3dtge8aQPpDpZnAroMR+Yb7Sj5hMyb2r5 G7sJd3hGubUQABBpXVjs/EGGqMAQLo+38jHoa6cZpLjoWBA2D3+tjPpGGzkE 7WWxlM/GaRv1uy5WVCeK3uvsCBoLn+4ahpwhoTXJ86k63/EgnhnodhQgEKaV caXQ2z/pU2gwq7wroBBsI59jJDUtbzDxdx+VTIIOHJuchHQdTxGqX/RODYyt DARrt9wou26D7/23ShdoiC9OliOKBhIHrmHiQAcP0qQHLOcH1NhLu/YRfKtF i1wVIJO64F3yyb6BvlWjSkYCVdI8IIxJ5Bq9TWQbvS0H3jQqI9Pv/YT5xuxP DQj2wn+2dIIdGzDS8ihJXHpVyFa15X7l5DSRKLfWssd4CRhVPX9x9kIezxVF WrZECdZUlQApoimCy8kz6i4tYJfdaXwDTkmgug1R6gcXzV1ZnjzVz3OwS7DU xdozncF++xUmjH+11urJdG8yJwokRdSjAHZ3ejHBckFiLzcC60qmghPyEVNY kA1Ob1ahhmbbSX3qtxI7KhMIQSISJOz87lFJApU04A6PItDsOKOgZAOCY46d eEZ8VhYkA9TcBeHysrp6rtU3VK82vRkl3vRGrj45mQY29hFNotpEZLT4Xm2r 7a041XqrJ7e5WWzRx3yKvV9zUVx48+ErL2bqTO2cjPukW41hxnYDJXiYxC9Q EpGjZAAUpgKwm7cGgqU0uMg64WcQ0qvAXZBndo4hRDI++kJpeogNeesCluYv sC7XFqas9BfkL5xQ1TLFPW5c8BLjJLT7pWzkrh+DwGXHX5q/BNZzuJqfTTSI lfNaBG+V8mxGEQ8xnAp4sbvAXMTkmMVHxC8l9yL33UQhjDfGvwPqWD2RFQO8 k37BJMkAz4IcINRoPzHooiauyA4tQ9Dt/gLirao0pgHCfJevI8p5W5shch4Y D33CWRn9Gy7fqLmEFxuNrRJpXHBDiUQhnjYeQl4/jfN7SU2rvigH20M64/i9 m3SGWeVGlNPH5CrgAF38Q8Y55HI7xOXW1130fdQyihOZUtGw+ybYw+wbKNcF y8X0FpGYl/ZaBQdczJSc7W/5jD6oo1o+Wy7xWD4blDhEKk+Pk73eM9KrrqnY H/WSkpNaxCcUhnuY9XB965UnSUZhYRIptcrFPEmRk6D6wmIUlhf5t5ajZ1tC cKwu1XIK1YmP9PEzAJfxxwhTbbNLOO0GqN0BaktTdhnWnM1E8JgdZqDHrKoL BaxyBlvxZE3MJun+8Mkf90rnnu0z5HKlrXcFUwZhK5DObC8kFZmpYiz67R4l ytbJE8RKCryYHeftgC8jr/H5JhpsYvqzgu1v96nVe0NEJcdzmySL/QftMMCp GS0hSH85m0FePYM6l4hUQGgqNEp2VnaxX6pHSV3Lr1NYXpx6EdzVB3n0cpzP XqpbMmLbQSC6aF//NmHMAEK/30Qdz9bOZnmLRUvvsii80eTkliqE9lr5ejLt g+TddHdftOwGrC7Y8sstc4uzA39uQBzG6b3eMtUqh2+SmtcQX17lENTydSkd Cfzp9mRe0hlnHhQLgHhFPhQHD6eJ1MxbYphG49wQQwByghW3iKrWYiZSgVod cK62UkpIfsCynESJaBHoQ0YjBIgjScSmPtI5fVDKD+ETSnBF2j1heEUK8Ddo ijJyOqvJ/KVTrNbJ3zXqrp9H+bViiGggNEyhIB2Yz/79jEj4/GrMGYsjPs7l 1jTZ7ty0fxEMGzsQi6ImLw4uFiUQbUAi4l5rR64Vw/ZSDX2rEwOrxQI6D8qJ qdPXUaCVGExDiGQ2dO7QFsxpOko/A+iT9tvEx3qEa9QGjvqQfDQCWVfV4uVV IpJt0gmhFZViShDAGRUapOTXN52SxpGydX6LvW8oL9j4olxg129s4K7HP/Ic tesIzk/OmYWjQToQd8Gwow75k6ulBuKikV/lRpyJTJ0mjSP8OILXoO6YmIv2 W4E3tsqpE0L06Gb2CHqCkwNgCPKSa070mXBu56I/zRggjNaCuHUAQdS43ycP HlgnJ3DFwcsKwinj4EzEbYHfRaJY/I6md0YbvXbgQ3Wm2EGqLhF32Zg4pk0c gZ3Ffnb89Uepxb+JvtuSXrACZcHAEoQjB0CA8eiR4geHJ3Q+qJ2fFKkUfQmz 09cmWtXjSJUI6RsDSkYsGtIsnqfonxHb2BI0m8DLQ7ojN5iistjFHDP05tOF lMLPSb83whGoU+pJxR7FI8SH6tzh3ixS7mFtbymBG2T8rZJpSeILlpNbtLyg XmEyLfVfT5S9yW6yuGCktBjwcwHh3J5wJkfgbmUlQEWn/yBg2nKVDBpBPFM8 03tT262UmxigO87WZw9g6PbReIDRQZQlV4q5j68ua4NMFKMmgzMyO59Agl4h D2vmj0lcosZBC9vS1PfRHbyO7qzbnMdRQPVjdKWopcO0zRL+2XOsYV38MI/3 ITUr6ifl/YRarfbNfARpKSDYRevNZK/ryVTVj2rrq0HSLQedGXqTlH6TdAT2 MvPDbTySuyV1R/0ChouTfnZCz1pLL2RZ+R38jP85hmfVRPyFLYlYZAhYLq2l 17migTF/yy9trtFaejVDBaOcsocKWr/1LrHpHms+NF29bSUDr3Z7Oy2TC1ok mlaChU3RuG/kXqsNQKWeeqA22XmOq6UrfIxwYNVTXmCIDY4r7TSZNUHWeQMA o6geh2zSu+F68Ajr4tFjfwd/FW4GyMAws6r7fU4CcDKelQQ8u8f9CnZBoxaX ChJQcz5RknunzwLnNmYy7W5s8XjJaogHYmRPEbdlxdF0jKPVMENmM1UnM0fm PDTS0ggTkWBGVp04mxcGUOGbSj5Vy2ysXi8xayU9yjAOKZE6dty8qTZc9sJg zNK/Ykg+CmkkaLLc0To3RABgHGRRUNcYmFpOnKCYo6RFVyl0hXAkWZ3kFCYc 1OEIdgKZp0HgzgYaoceUE16h+raOE7W13up11URtvrILm99hWoYiazHjRMAE NoqSKoBnRCvtfAVCgjEtOYzszwcHIkGRpYeeBDNEzNMTJEKj3D1nJ0QvBfmj U/KRi0enaYzK4GLu6aTKvrD6TEcllQ2jAqz4qhuf8TVNcBLD7TqfqhEAG0u0 F+1fHJycdE6v3HAH8+E2/RK1gRk4T2VElP2F/Q3Fr9MEFCvnFFdCTgSOhyIP heAAtZzOTlbFMm8vR4f8yVAi6HV7jkhAWmPDDv9oBrLv643f5fmneF9zTS+b CX3Uo8/I9WQuE4dVAqcVU6Xf68O0ISHMc2QJwVoRH0XILCxBZvUryOjmO9IG k6HClgwbRy0ZX8UaGhZtDOVVqZtHsApVV8jn12FY0steIcNajB76S8GfnfIZ zLfFyBwxnyxHQn1AodMJwm0a8cYo4CugWuJ7F9PSl0eXGl/LpOdfGZxAN6fs QNdH0Eb2ube4kRxV5EYQzaxmNbMvweOMAh3hzMOAAEUic+6xgcAHf+Jv2gNA qrr+Jhc+SXzB5LtFQ0osUiCoP44HlG8x8y2f1W5MeobRxOlcmatr4CNz1Hg1 maOb7zYuDwvK/WzKqJ1seEiDTFVKdAWHZSnrnuN/1YPG++RLpL+slSwb+KGM bPVF4Or1K5jhVBHtD+DoRbgv2HCrk3A7XNZN6IUK9v2y7qFm6T0/15HEl196 FZyHlp7605VL71zNKGlLz6fZZ7UnQxnNH7FgLM/Rmk8w2eLS0UVaUdZJO/C7 IZLrjKw46GBQ0fCNQAmNK8RtOgGeBR4hl6obGUmjmmizkncpShB2CJGIaA8j zw3FgApw5mC/jqgxnKZorATYC01JG1ZGD4YKJAqbwv0WyTQ71CIdnsRMkvn2 HDHXULboqokAy0R3E/7S/WJCU4Bvw4uRMzMUegVzok9+2Eh4/YE7tHCyEL9q kzd90LZgdMW5NjN9ye7md67+2Vp0bT+xg/YexHu05lWkh4H3cE5bDDGvokz3 BKNs/9/p1NFUp+NCTZ4JrtCe6IKOVZwLodywifJAlR+Vu3Dg2wCgUmCLBIyp EO36zfy3NfEljKm2aE3IxP8tERN4A9t/dyKcvOEbe4njP+mWhtmNjb83feNH BwlsQ+1nzt/42VE2HuamGn0jZS369qj/erquCyWyJCNWOcIPdGLZAhVO3fY7 Q3xzJHSkfX70D1IltYwbwRIeBAt8eGBl7w8Gfr5S4ymylM6p7ZrtfH+NRcO2 aNSWH7T/Nqd562hRoD82HMWUHohNcH3tJ8LG8SmkVXdYs0zQl3D4mJJ8Rxmc fGTPpmN8Z104P8BwAAzRPuqAC4RLAg0Oa+A8Z3PkegTTCWo5bS0XzSAVHuQ1 Q0KgJbnN73XGFnRwR2esI06vnuo3Z1M8SVXfrA/TxSCdPK1Fq49qEQzXIAMc SnUioC5Hf5OEYI+D41HjxHOGoBQl2gtTp2I3CYtAN4IPysQwbFA9No7CBQs4 IAUkD5oGeZQwcUhsn7hZGSwxaSq2cdxN6+CFQ0pyNhs81NrkH+i+DArahhnW qO0EHKxGvaar1rvIp+YURjmIxsQ4SWToBTNOo/TLbJpYQYGzuEhSuDAAh38w IHyIuwfhsUeBCh1O9LiF4SDdDVciRf9+0K5eGLiRJbQ2dsGJMDFGBoPxKrRX MngBhqxEMh6f6pWG4QE1rQXO0RRwUQG8Wq02OH+ReXjR8zTZNrMXxupTUgL9 642ioOPvdaM6dqbLfUHwI+0IbULG3enU7ccDjZoMgy9ksMoGSTLUNj/3Artr 8xyiMWG1py606+ue7hXdsVEiF+3oU9NooZuIQadzkqGWp9kOrzu78lGg1lct jshB4DxS21Jeg42NFDVjjpe1oeoc0BSuHkosQT6qpQYIzD8/9D0bYnsgUQje sYyrGcZtwXgihaihSoUSJZQ94svu8IKD2w5Jv1O/awsi8Q2NZwvEx34ejHTq kHLYiXb+hUANmiExxopTkqMWMUuiG4geYMxrdzhgKBZhO5ol5i2EqgGv+vER Afnuu3dlvuEA69SPPC5Ty3TZCNx7tYERBxB1Lbm4URdcTSAr3iFKCe9OyDfz iIJUWHWg3Qodx2MybEfGyO26canPJQzGa/zDgTOOXVF9YEeCHQvmy91n/ES1 p2OeWsoXsLpeR6VoOkkBVxqaLhUN6Jf7AuexfYV+Ce1i+0ZIyEhYUWfX/4Tx VxungPSMcOaYjUYBHjtgxF3fWNPD7blY62zXQ3i18E9NBnAG3CUuhrKImaRb lHkCDfefepC0aGCBtzQoAhamgrN6tUA+NzIyoa3RKPmbhRraWZqW3BF7WNUj HckPrzD2SrK/W68OjNvoqtGEUd3swV/neoeiGaNFoYJpql3zJqN5QbAPStbJ YK0rmSVHpwlOcTf26PShMBpnDa5600VWPBkY7ok7Fw6S6YO3dF9Tv8ul7Ns8 MyB4dahyvnkq0mvdC2QQ8iWYAZ1w0xIMKrFtqKKrK3Gkc4Er78e9SAZLZwDm XTZF0ipQHdsjMjYQYrX8Ir2hHvGyAB/HlxlSIvKi/KlaQryQ1K+hWjRN6r+r 6CXh1xL6fudH7V7jm2pLPZ4ldxPo8WWGUYfwjbtcHji9xR5J4xEDUe6P7Qxu MGJXq+uvegG2dWAlTGOmRd7jjoRZ07TwwTcE1z2scPUlHLFqKtkd41YE9u1W dnpW0TbPPLOwBg978JkOWfM3BTbL7MKS3GBaLoqExl/8wGAxWIEj+/WRrFnh Frq/rW6vh+JrgIcdqwGdkF6oQ87lIHIO0mGHoiVa7KCBOIHWbb9VpmTDkZBd on9IJ7D2nWBSjrszv5VW+i9QwnhN+YNmxON6olFFrQYKmiz7qXoaRd6lthed QyBR0yUT3FPAmUnWYuc7+oJMA5WOdDlefbW53er6zi1v5tlooE4gvLuX76qs U/9o8R9R3xQl98lDS9Jx0R0tJC9c0aqlt05YxbMXNRgyzQBh7AbKyWQxRsDh NtUAJViVRhnAzL95zfFygNeiYDoDzF/j3ZICNEq5ChzZZWF4XpkdL7oVVIMm 6J+WHyCnrRUXl9KCRFFBO4PCv8tnmJQQdLEmyRHzu5CgRYFbvR5Fbm13He6C PmuqTyZlOkpbFh6wT6mtnOWRzR65PLyZgnDfqgwRqnQjUNydWM0UPBaz6DUu V5AMJJJ8yxYpzxHfQ98mmLJcK2HhTDmQaaoI685GJ3e7PVQar3a72+uu/0Fk I+2HiuLZu6DPr9BsfUwR4Bl0NwC+ExU6sf2cJoauGpiKloOiZA5cR+2p3qvu OYyU1AjHqJEjSEuHnQJiG6hWJR0L58rRcWxxBQ2ci2J5nQ8eUJu6Guw5ULse JeNP5FbpFEI+fyJ615D+uatyPb9Tg6Y6Ps3nN7d0DSPtqhqxnIDn/VEyKma7 FxzXw+C2aEH8z10y/WRVxy4sCyjMO99+84QYbGlR/3ftMFneOfFd2aBuj9Uv ikDR8tLgVVFtGP42Ubaa+PKG4TCW3uYWSh78V4RORtGH6I9/FPk428ZDt/jp J+/RRD25a2eDNiuff/rJ2A5p9IxrcIRFU/YHTqKCvAXzoXUANvmKwehuYatx QYmRn6Y3yRS3umgJ12UQuhkwLftCnV1OU/Meo+2/6EQns+qmAaRdar875FD5 Dji55EQATE51IJ028WICzvykAYtFakzymiZPZ7dttwDPzTmy0NYGpofCvkR0 +rVxofnqMXIoPWFAvEFwyD16QLxBcIgtGBB39albbRSZjKRgGEQ2AcHWHB0N OawHiCmsFnCOyIIJBxl4lCJBCQdTH33u8SNaA65CJJkjdrrHKcYIAPQt/EcM 5QU8QpQLheFvbQPIzCr9w7f+/Mc8U7c7TB6hM1S46wFzRThwGBrrSJ0ZXZ/x h0Cs/HtDFFkfeQDZHUQNuvHrr6vRgX79C7SQuqhLzaZvzbeB/0WaTNVSuk2K Wz7IcXlxe9QJzCkT0NRpiaB6aGEvSioVJdrdMoLb6z1GgoLfZJnT9D4mLROW 5vPQ1jRhbd21nQ30GVjb2XL82OUnqJGKgvLfY+fEnRlsdQmjInKdrYyBGceb IEAGeUqpB3CsqzbL85+phvKSmyV8mhIKDPxxYAjuOGsOyW7sUy0jt+lOPoHw IGb4sO7MTsGAGUONoS3AcY6QWvJPc0oNgeDBiFtErFpf3oNT+EScB+2Tjo07 zsYDH+gBHxyBC7q3T62jpihyy1kqCmiTpo0O7MNEXQzUU/MichzUGK59OyBZ YUbRLB/eycZVkGTvsbeXKdYI4LH8lVakd3H/trv2TTizJL5gvblFy0tuews9 r+iPp3Hl4TkhHwSR1gXuRnSkK3Y2GrDBX1090J21P03ByzIpU6LQFnuXgVr6 UIelaAD6ET2IkcXULm8UzY6r8ENvH4wogP3QcgPe8PZnZjKBlLMEf6AuhCZL icunHkcvRK7UWaPglOnk1eHWoQFlTCqOWEB3i0Q7WwS0oGi1btEdBYygd0wQ nSbysRgsAki+RnsTpO0pXVpMdAVtFuHkYZuWFe513NHX+ms9B5Tcdv+bLHVB e8FKd0oGeOsGuaCwSqneKfGxH9g2OBNv82KmpuFOe5pSMNNMf8XjdyEG8aWS WuOTs116oL/xOb1Bx/SGOqW31u2OtR4rdNH8kE5AkGecQ7hx2lhNxVcpBZe9 vi7AwPW7y4weQb3ZAi4tGpgZGuJl6xFyS1Sx8Hh+F6u795Ca+FoHdWn4cT9u 1yne1OpPxE55DBmvgiBkSTjxx9WEfBLBoRPBnoEybiiFF+YJOuii40b/+XGf pSCXuohP18w+vVkmxDPgHqTjOx+jow6GdeoQHBPTGRhHMZQCYbNivZVQUkSV qsE6MUC37oJUXfRHrZyqJVo2YtPSJeTSk5JH0dMjLUtkqHBdpGUogMrtDq2N 6mhLh4J6Qazda6jbrv+L76ZGVYBhgtl5OuPBKlPlIvlUY+lyODo2paIVgBPO NTHSN0TaaP0ok19nf4JZERtEwre/1CBWO8kRjFwMqFoe9FzjKuhKqK3onl+T 1GDg5wk4ywj6eHb5OhJ5Mq5fr2+swSwtTn+uX9/pcJJJDrR5YdKYKCkKs87C BrKAetfs4ZkOhIc0XUeshPp6u7ejmiHbocbMaM6xBtwXrGfU/gByzMVnF6AE 0pAkd4iSVoq2mSHj/CbYZZryIuwyW64soWy8QrsX/TG3v/oj0+qpzAZjtRsq wDTQVjaAfKLDB9+5l655hQisssnUoL4BDIMamLPDqwMmfcB2AoI6kwi1pLCo hV9JadYR3RQYyST5QmBXNEzvI4PeYnKaIhifuqLCjWKQ9xGHIR20jAMzSulg 0LVjZxN4kepTI6dxyB0WR32cEvLU+kTsUTJWIYrr5hZB1W7JGVQiTT5u43Wb UDoAAQOqQzph6ESX9Yn6qTNzGqDfEBNWIjVUwFLYG0KgJlse77MWDl1b9cpX FNPvFM1j7TQckeZ2wPPDzhGLXt/ulswdVnZ//r0paC99gwhrZygQwRg6bMgo L1cTBkJodveUZaYVGagfjviJpvl8hn7uc8L5HD18z7cyMs2d7l9qxfKUU/1Z CJfIBluh9gHXQzYGWyGZDFFrQxcJYDcm6A3CH72LiGKluvGY6qRzQOl/YDYF Ekw6izlnMjueivOLlUEGBoY4GYwUuEk6yKsWbpQz3bzHA9w60GpfXzUo6MXJ +Xjw/KIbqVViwQ2YkVXxeQP6hajA4weO8MlRO2isGU2tzloIQhSSsHcDVatx iL5OgrcnbQjzR8ngbfqVsiJqCCBFeyGCHh0aRJzS0PLtDVHJONf5QiQ9pEJx e+uoM95cb2105VRjyEgm5lMt7UurYeCJMzoV1KqxduOSqvrqStBNGnBUXrOe uIbaCXUSELAl/pclNIIbQOCqfAweeciLEN+LOb8J4vMxQfFHVfI8L7wy7/L7 +A1oRiNR5gXaEkrUKFY8MiVxM3Zc33EsU6rJPoS1NbmMV9fBxsW6K96ArQiZ 7ljvJAxFslFqcHLfgfMFuXFUeF1YEyLuWD6eCs7vjTxMZwNHnjqF/Rm9+MuL qNGf5oXwJ2saStIQqf4n6mufdSFjHKOdJQGm0IqK5ME4Qszuc+7IPrLLNzrV 8Bv2goNkp9G+pUQL9EFDieQ6DEpw4Iztcai4dKynCUixESGM6J9JZ6c4XgYp GsapdjYoLV87ampLyMzoKLLn9+o0mbYY9fmJ7cOWUSvd9uUo0hSU8V2d4WqY VujY2F0xGkU1P2q9g3w/aFmXibl22kAlKrnd6BrsZQNlMUkEpREHNk0I756S 0eLiotkjTbSxeQT81VspuEBguKyvC0ZM3rMIZ+jY56DfoIyLBfgAoWhnXY7a 6lQBuvdkPRYLTkfsYQ91wAQs6jc4V2L1fDSZN+wpDXj2OO4C60YN4BgYHc8d HfUwaoYSEqBdx/Q+ZzCWtr04jR0IzwgFqeb2use4/NiT3CrXsRdAhBXeph2A y9ufFTokObOXNaxSBhn3M/Fi3u9pOuekIxGVvUsGYhivlfgPuvQLACT5gmpO HFJaQR2z4nXv1d64M6Ya+E1NhKGGS6PtLg3NOyC5AbuPKXEGxTAfhagj2Nec mvwXRYxWGDWKVtUYW6QWtqaYCdN8x2WCJqlC9V6HUA++EE1GyYPdqocVDcXI 55bN78J7dAVuFDM6KMRs8RrkO48QKTNgeziQ+9BMeVHn9jleVRSVgv6oDFEd 2ok0TmjHuEZjeYcHgr7JhqHcazphVzS2xrRajmcNt+SkNMK2GFL0dWplIy1t vFNyyPKyEMlA213Eju+KxIC2Aai9PwBAf3ubwq+F3YICLYZzA6i+UEU0pZVw u0KpN8vAW1ONeq+o1SD6m3OP0vsU3nJlxZLil5B143NKEeag4+IbQ+kyxwqd 3rc0ffaWN332qixCm6+2MaUj/y3Fc50M9UlAei2DNja+YVF15mzz+5SznowH HiXcvf8blSAy8p/52CTPxjPBKorZ/DrK+/05YGLue6QQHkAa+vlKeYdO+wPY kdQswzH0+QFvEUZUIJYPXRA1nY8drfUQiws47zmaGvH4hB0+zu9NyuTlyVTQ kdZm9lc+keMccLnUyvbyovtW6225pVaZ+hTt6+q/Ozb16dt3Z8LyB9/4wbvs WjyAb/YB5WPQDzg7QyjraU16VLNl2QKJPE4X5W/87ELtnv2ZuvfiM/NNPD24 7bGJUn8jfOxNxCvf2XThMHmhOOdQgxUeYzirR4QTgcsGlOnmdggeU02xVjiU cD5RfLMoKKMXhmqBYlOnwPackzEmGiK70TMl9ipf5pMOlJD6fV0j/B4ubpfl MYgvjXBhJ+Sqot2cDi3gUc+gzIzLtr3e6m6KYb1QKy3lrGb/Q3kFZBO+GuI/ dCifz4vbODxzFKG3lMLCPbTXX9GAru/0SkDuh3m8TxxbeKDpNDf8ZODY9awn lHmMUXzScqE+V8fad4pzbvph60Kbn0wReU1cIxAZRRtGtNfe+s4OY0V2PQce g9J9AaJhFNnWEY4VlyJfuhi2MClvK+EPajpkPhwjLBWE/ht870luJ0hB2gRA 93VkNKALRYh/rQcCxWBLOgtJWjxaxGVUr2/yKacgh1N1OOzYazS7LwNV8w6N vrlGYFS99RIHY3t/g2unX/qj+YDuLehUgfaMP/3pT03HZfWkAJAs7p0dG8el qbFw3FrRB/0K0PnAiwc2fM4xZDZ4YhZU4dLeIWuH3HfTdMK0NiiNYXdjw91B C5JC62A/XRogi88miGUwBIWoxRnH7NHur24q6UA1O6QNTMNOQLaOvXXRMDcF nlclERpemby7vJP2XFh+x2N4jCYp51qHFyC8kzGwpbljY7wSy5ADX/BB94H2 fPhNpB9JfIEI5BYNSNubm2gUUH/cA+z4lO4Y/2PHl2nA0w8vzbcvnLzv1cdS WHp9ftsX011Keg3avDZ7bMp59XxI1NEzQlFLhwV9PJXwrsLXY4ZchZMknYK2 KKRtYTP5JO2jA7aw6Cr2aFybocxpLMP2yWCa89GgQx5L2azEpnn+yZfEl9+8 wWXwCvHIXy0LR/48c+9MuTGlWZnpbBhfgK65IWQqmPQq5xndRJlrzdoPCYzF xOCYezOqszGcx4Kw2WWgapJbOXJvJGT9ZGeoMDc+BEZ3RSlk8JKsGJK3JBCl 9pusB0N5wWIQ5QLXWZSN8L/fxo222qqO8lNmg6YY2RfhmElheTPN75XMmGmD WIH9N1vwczJF8/PgAWSiPll92Qg/RMAKVTObjKQmHKAritm0P58BqnDn+9Un EKQcLBUEjX4TkChJPSI7huZlA4CsX1zo6wFGrsEibpHaie05dgiiYQKGcPLW V4yur36DUbX+JeCuXwhQZHotuY2jGY5sUQWhEWFwWiPt3HQoAQuhHXfX1iAc a23tB0twTwk4c4C69lf4dFaAAvqbCCyC9oJV7pQMYfCvbZF4u7ZV1mNMo799 zNQR8w/8L4KLnZyVbCKoI7ShDHhfoYiJjnM9OLgl/3+mE5/TyichYuYmVMHI bNezrg7PwRRfCxSL5Acufcic2Cu0JChfOXFvpvEyHK9HrfWx7/v9tonI+XE+ y0ZFp//8IPihtyxCww/XCYhBXXS6hz/WzyH6/Xv43//z/ernPBt8vwqplGLY uzHoKGNxc29AAfXq32Bmfnxp9fds3Ea1WIHbGsQPYCt0gkotvpFYq3X5UfTy Ryh0l97BvbZRZDdw4VS7Np6P+Qu6ILaitRbuc/Xq6lLs8qmpYWa1QH3xe1M7 vatOvofAYFj41xnlnURvKOB98z7wPnIKoEgx9DvQauWDt0cHf47aJFixdEWY NJSXVA1JJvy3Z9GfdL8ZDjfWwgJOBMDKXb17F19+ODralaXcdmm3OIDzr3iE EzyZF7f0cwM6+zv0V8w/HFHFbf0KAFiDpCjyPmZQwWqzXP2d8yX+N72Bb276 8RAVi+HiRg8TfMwd50K/6zcj2G4MsmlMqQgqX1oqad7nPym9itfAhxRWDk0y jknBQjMgHlssjut5NpppYArjJTadj82KhoZLMtzoX48OuK0A3N5SG7vlvE3P ELUF8ACiy7+eH5E8hm8FDTR4+aDxcpCoF57uvz/SQj0KdKy1JtdPaI9igjjj tXxulIxv2iA8Fp3bb8zs5KsexfHcikGPx1fo8UiGTTWIlyI4DGPdMyXFwstY Wv7PAel5Yi3wFC/YuU4NtHp5pw8jjewT1Ex6PNX59tsKiJwrrWjl31VDV5DD wP9+b5mn18Gnq+G63VKB66oCsPr5AbZs5e/qzw+/TW5e//DbML/LZu0hwBu0 0YSWTl//oP5JvpftwGO0GCopSA2A4uZqc/z++9+1V+QGuHe3NvQJsvJDV73n 3y7Ue/KXr3+4/+FlG/bv2e+/R3+nI+aHTBVQzz+qAr+roqpYO49+uO4Uosxv UKn/8r/gT/HydQQl/vm7+p1wNV5CyTaV/Dcq+pr/pUr/0MjGn/NPaZwUzd9/ 90d2+Tp2OGu3BeS+/OYbgl7yqK2gqwQ2wRpaieFPGeuOPhbb2c9m2lqyuJe/ dNlqbsbSVgkwMwLnoFko4WgAYbi6cDCz0gnjzsvEpCQhKj6BOuTTs0PDSad5 PiPM+Dt2cAaO3TFItLKM9vOF9IpQyoPGmHGuBEnlFC2Io4cWwAnCndvxC2Ov 5A3EIN7Y2BRQNbCL0U3JthiOgpHOGCEciZhZKSE9VQ2GE8BKBCho4CGEBuqW 1kBRiTENePT9aqlyrbywG3gbCphq7cbpbW0pjO+N+YwrTNGqFlhafFjirECk Mae8wVNSJ7bUsQDg2cbg9erY7tNmIz3JNRlmzj8cHZxcnJydqtG7Or04+fn0 6PCc1CNjDInI7OkaYUw0SaC7Ua5RNeoZCkLsf/P7BL/lUSzF1AncLl9hLAH8 2dFH6/tkYpc0rmHUQYlNMGCEfvZ9V/dxsIQS6A0uTb4/pAD6B3Vi3AlKFMR/ FH/jQAxcm38GH7B/4KJUL18Q4MFyGNOHnW+vnyQdm5fjwsJF2rfioVlcspCv L9Via0Nob2V5AnQispO8yAg/yxaWuTg9YFK6dKB3V/xW3dFVL1+CY0R8i1+K eDKbtjCsksYgRpWKV9tmx0a/8JdQJsYga6wdYM/XZBc2hGrOiaUOhfayB0El 19eM/OkkzFkQ5P/fq3uEQx5ZzChTewJir9UjXMtez/iNfgtmPKebqH3ubW4Y RQyHmGH8xZ6OI4Np2LVPKSTUKRL38SddTiwHXc5fFHhKyrXu95hVItBgdYMe 52BrjzEqbU/dWAKJvvf2oq4B3VnvbkPX1ns9C41EWjMeGHXHvRmjHm9PHWdq oCCH3CyuKNMggwc/LfrJKJkuIFEq1GiyyQ2k/RTi2pNpproDFzmMMorN2Qim E/RdY+Ef5xoOcX2T98prp3C25CfszIYHR2qPXMUS0qkmgRcOCuFjyC4KK4CD MuF0MUGFyD/zaxOwgTiDCb4pHRAntlgFcBVVu/QzqhKwPyxkJGPTjWQC2rsp JiPV7TR30wv1C/Au9WcOdmv1V029HotmK/pf7jhoDEh7J0UtibiNAj8/RG+B 6D+ZQbxASeU/9fGICFkvGBKv0AnwCJft+guNNANIKuJ3DJtMp0SkOSm/krLd N1b4TSstfebjAy28EFjMqx7cYba3djZ0OsIosqhNVs0CirGAXsTVg8DBRBnX UekCe08qEorZnZJ0pvl8En6u9Ti2nHyaQqor5M5+vVq5y2gM/pCRv0S0cjNr q8O9Tcf57Uq9OHKXFf1vLo3QSx4ljOgqAdseWnjVf+nA/AO5qUTv9k9/jt+e nf35Ij45PDq9PDk+OfoQX5z8v0ffGcUhnYsoaXCEcYZnwB8ADG8YOTROTy7D xNWD7777zsi2368Gah+rUhdv1aNyfXrEBGhWKxsQn51fKlH0orIhuoBoj+Lf aHIKEn27f3r47ohrkWS3udXqbVCaeWbpUuJWEwNEv/tO36KCInysiqEmrzuY fi4XNYIYyBe0tWMwspIAxe1WK37GiXdIcZNSBM7UGJFA+zNPbuD6BNFT8wIh nKQLlbxhkd5LyGPf8+0HiKK8BO6c6FAVPzwMMLZw//Lyw8mbq8uj+Or06uLo EMUDjOyCcrd/6/2Dk7yrBh5gTCgaoeB80jb3fpQ5ynIWdVWd2PaVVcEN2OJN S/JPf/qTJXtx9NYjpcNwOzZeQ3F92CDJFML6gEoCYzyDSJWJGj51+eFAlA7Z 7DCQ9TqFWF8ZOX6Xfwaj7wxvu0CGjkkd9wVN0cqiSVKwJa7Un0aTPe5LPaa7 nSITI3JiOm3g2oheNtWoNgNjilAPbXBlSS0OtLXA20Hl9Yl8sM2HlEsFLPjm IHEWKokOv3O+n00Eke5tb2l3H1465gQDd/SotM2ihiwAwa39Fjla4pEXvXwJ +e1xIa3SQsp2uZ0C1AXJQkG6sRbgSoZfgXWZ1ttSSlZj6s3oyx3aW6NGA14O qObN6KUxdkDxv639gzPjGQrqJ0Xki1ooA3UMmVLkzK3aJhXJOlTPbUQfDGgs Ter2wm/whwwTOThM43UryqI/0oMoW11loR6MzTPVwpXSmEJCRdWeHwbQxh+K v4/hiG/Rj9k/qCd2iPCVn7kldDU0AwuXMMylS6F5c+cRgLKiBl11DIVbOlkw m4ezBIBtianXQoGdUs0brBlhkgKukcsJMPYqVzfhz50+qRFoEyeqkVAeWjvX 1jUW5bRa2jEs/FsFC+WBpXfEZHUiCcGIEWFzn5DwDnN1p5jNRuQX0lIib2Ey Kuurl8TFI/QHkJDVOkoYkU6jy9ltjMmawHRijCTqMchzcD++u4MrFJzKY9QZ NGbkM0uJSFEV1gOEydWN3marJ53x7Ho2y/IfRnTCEr+Tth4n5QZyT00x2ZHx 00oBwsl46xi+oo0y/fZPumhMRfdAPJa/GOvdrp6f+ukxhqvqg3DIyKm65KNa r81iT+uBeOXjTjiuV33KSdJfe9JpM+IznHZM6hlOPH8EFp16wbFe7uTT/Ren n7Fk2vsNyVO4BUifjL5QG5s7Ri8BfR7cJ9NhTw14jGahWLLIPfF0kFMBOjb/ oJqYDc3m+pjyEI9fzMhR+AHjYLS6FDI6GLyb+C2Nh/ZOJ7DVFjPPx5DSlAqf lL5fQp4CRuXo4wogRyzgZH3AqEhMvHKKEWrs3UDeDHAWMyUTOkpZeh/urvOR YlTFHGB7SPhUZ0mU3oLPUgE50yDVCi/jJlIyqfFGmJYRgmiU+HqfQzdH2fhT lNyA2xjfegMxiuDv8c1iFDXxJWIUbdHy5Wxne42iqeDvjhPfQa6MOgJ3zDYP ClXE28TYRIuzaoShejTOu463U9NPhWNUdLHDPiW5PHUzhS1Zx5qLDEgAwWdX vUMn4FnV6wycz7zIR8reAMLHfaqjNzgoWS4nRiXTlxw9EH4YpTcs5MSZY+5i 3gvacvUifSFSEydhULHnt2gy3aUAxcJ2yx1YMavwZ0vbGISan6UGIAC32pMA WBMmVlvWONDWV2qrLV2DKwTcPUG/ZJwiKcMvI445beBVAZrYl4BbWpBfvmlL YA9PvxGgm6C9xA6e1oC6bdIZ4QKCXWQUNQ9sVkkKAFQdjdhAWnJCR4hrQPCG TAYzALCBCCnFNBwQMxIcP43z+zH7Z7K0PcxHAwxZ1vpTeAlH0VGi9KYLiQZA eqPPqUWpAnxrA1xBkqvfSAKA6POpazDkyGWUdQ6ch+HBprWUAaFAWz1DXeSU WjBArmYj1GuoDSyScS0pH9pK97VxKlItw4JVX40Rg8MIHllr14R6ql8pbSuS CmR6+aL41zc5iSTxJTK92KJBx+8t4fhtopKv1EK0Ucn4rTYQuRL7WEQo61I6 TwbRN9/0U9Q5avr8zcYnC/rwje89mK1mY13r5qgwuh9qQvxNP2MAZ36m4Zz1 Q7Xyds1b8JsGfqYU9m8dxGf6oss7j8CRGFQQ1FXzzUC5obfUIfmZc2pGXRUf vU2TiTpWp7P4ZzOUOp7bgrwhcDvME6Gb6ChSUNZsRKuvdlrrr6yMAUIzWQp0 hk1NBmqH0LaiBkV2hIoFOqCK0xxVoXMBAQrxbTkly+hctiQfYG6FMlRX16cY RujCLlhsrrZTJQzNpasYUC5ZKYDJheU1EtcjiWtbnYWypAKX7E0BA4+/dE5Y RhfQjzQ9r8sFsAhSI+MoJrwHV+RkrGYVPcm78draWgnmDtKKOEzRxOJYQgJx TFfTsQjAu+ezlNJ5ANAdEdHBfGJVxbSvdBedzda5QPcIXaTB4yq6okbTftM2 wqORGW9eT3ZMdBnMo0fFTBkUXGO/5J/TBzHH3BH9EIfJPIRvphH/mutFCEtj byUAIq7HD6mUYh+fMiXBsMhyKUKoPgUCd+L3Fx9gWUAIwM3stmmDJikTTX28 vwwbUx2/MCkmiprgSapJuQrXKIj/lRWv7Clt0r0dmsChqHHkHOwimbxqQWTT uZ+ziwB8FLf5QgDyxmeOM8kTRrIVAZhxOnnb+SK9XxQpIMSffoJU2o0jzGF1 yjlEWSvXXd9q9SDmZGuztbXp+hqaixSZl1V9WFbxO7Yph0qeSEwWU1IbqI+a nHDdkvDQYfYVIUzoAbkkEMPW6MlQnY0pyg1+k7xjrj6GjvNe0fi6K+ZRM9Rh vJqKgG0O8CZkcNVfJ97dvlPvdTdbs31O87/H5eip7SGgjVggcDeRE+0hByYc 4fDFdXpRP53rd0VjGaUbHzl+neWWe0XtINLy34vOOOlJaL24ibaJqM4Urt7U 7KjVDDSOduuLqf2IeCd27S2oAI17VAUUxfeiF9GLBQWP5mONeOEMvHpPc1Gj qCqDHstht0NcNTyLy4bGaHGt0EAtriVHa4le1A7ZEm30xs2uQYieh3S1VpiD ZecGndGWORmPEErjDcizZYwoICQyhBIR8/GyUkR2n/oFwux+r06ZdWTHQDXV qchKLn9HL2IS5ewS5vVhCc5x1ubdz9JQ52cI5JKtcqYMrh5WivRkxqicr8H0 0LRlLygV+V2OLB/LTHs0jbos11EVA6whEWKCNcWXY4SrldOxeMvXla/f9nU1 67d+Xc3w9q/t1ZIsoLa9leyzig2suisvyAacNRZiA4Gl5S0uvVUu/K3SiqqW DeYC0TQDYqdFdloUAI9i4NYryqm7vsmaW/pcOqIr/sriK+3Lp+j17Qmn2VDb HZ22NDcwdjd5tFJQOeLUkOoDLNn6EQc/39tE1GiWT0aSnMUPZZvRvEDwWQKB 0qQ6rKTo7aDP/PrmTqsrhwUc2e3IwLddr83HBC9HQQdtZE3cPohdOAKJvGXD DaKj+Fd0b6oX9nFbAymzCvBt1YnJGbV10dy0JLl7m0CdQVTnE2nsEIpQjMcr 5ndku+BYSpDMJT3sgskbHhGONIarsbmIMVmTmU7CLjGfJSXI55H+a6448Oih Ix8gALK5ftauwikDcaB5Hp3PQIEsiaEFV9OqPnXhBlAg2DdiDRcANOV2XM5h h9qo1kJk1oLqqI66VgsQHJDUki2WHEMJhKvRbhG9Vq9DOxxFfKauYm8vKG4h cCtnKDlnCV9p/QgokWF/J8bcxwA1o3Q4wyy6UZEN0NEoAXTrgp26O5IaGLqG +WiU36OCNynYdEBG9cFrVpRuUpa5VxJVrg6ZzrA9w3HtDlJLIH5/cWVzhu4+ +Z7MpSGfZ7zfn4G6pHHa4i3eQru/SUKx3er1otXNrW5rw0dwQKhJ1YkXkxcW 9Ps+IV927fmbjRVLyMCPaDKFnELZZ837yCHUksOrMHIQraYqOvayhNsiJTMK xItyWHtazEyMO7otoXbWriU0N4q0m84uqyA3fAQ50X4HvtXNN11e4NrbHyYc vbkGRj1LTAv3hU68g/wLmN51qvObWLxnxnbRxhvtSOCs12ONeQK3eYAWyfFc gZwbk5mTC9O20iK+62hjJpZEHKCMONVj+cbSYt1beIYa1k+q9g7lU7yYTaPG itoPsBUaKxWlQC0RNZTsVUul+Vq3Z2/FuVnoVnpST4AEIPiJup6KIlABwc9W muUbiR1GpxYlriPdoNPGfXQdxv/G55RAKT5X3KMDTM+Ig6fNDm123Ogg+JHG ZnHPIjm4kUUjMam6KUsd4ZOAtmsFYrwDgmKANpR0xcWRnzOWijtKptPmgttL aIqrh9opfZSPdu2R8hTvjehRN9lT59KcYVYcNYYv0hdOmDHk3YDwGn46eeFc xb2nWe3TT+GnDX58/UKoB4v4Z+I/9pIpVXxRScsXeao6+iCIV/lnZ+DBFcF9 HKlpWyjHca9Wov8V0S63tXEtOU3zlABmzJdRINRQKOdr934xX8kOuL4N/qCr O+tK1N5yFdAf6GZaeS1We7rpdPJwQQV1pIdVik/VxPrD9WP1eAUzdkaP06wE Po9SttBnkcqFPoFEmdFXqV/c8V6ghKFPmZm5RJZWyNBnKbXMAn0z6zzU0izT X0JX8uh6vKQP0+FuyVpy9jmdTjP0Q7EyIgrWd8n0Eye+iV6cvyi98XG15Xst U564TFnySWtUQ9e8qmK2BfGZboHryxd6aXlR1by6vvDSDahazcvouM5fBBZ2 6WRfmp76U7NRHrW2qnRqarEFds8SmrUSpQ+PpqS1l+BXU+7NE5VlZpDqJaWF tjFvZXiyRPqi7mlW+/RT+OmzySEL1qctW5YTHrMUH2UqjJawFnpLYIERqsxd F9i6kK1673gkZy3JT5Wcank29XgeFWRQi4xvJc4UZEsLqJQXQQUzeqxxL8CF Ftj3lmE/iwyl41lIdG0/zaRLXVjGpFvR9KVM3+PFFk1y23L7pP9d1qJxUpXe NqKRrm1tS4VcVUZ7eOYYBaykZ6ZACn8lpwA/h3mt/YJ9cTYpE0VvZwcioJ3L Q4WB1ehcwjbOhdmEg/I43DkwzQ3itVwBFk6D894wYIAFhkZHwpLJqtozjspU mEGpuT8am1aADzglRX6AMMs4wmCy98VNfBroaWMlTOpPKy03J7zNQtAJ3kKC gr0ch8CtxnmBaUfFC0Dz+Auod1AhU3G8+Mi19KHC+xNI1Mkvwp9aAd7xSzPU M0qiU8F2tAqEvtUIJCSuOGpJhN4AReRg4IUzEYplWWNMoVA3NzYaxTrv8w4Y lHSk2vzV7W4hGmN3vbfhA9EB83Fcz63LhMMF6ubCS5jEVI04YMa4Q9LgV08u Uw9NI72iDDV8wo6tyEJ9hDx5TF/iIMvClESph5gM4C+45SahKBEB7U7ZBl1Z fKFTXhSSA6PFGg/61Os9qinVSXtBspXuYfRZzjci3MeFjmKVheu9v6rfsaRr V/V7Kz0U6PMYN6/6GvVeH/V16/0+6uuGPT8W9G5J348Fra50AqNPpSuY/IS1 m6fpPdXQqdM2tpFvbqxt+3yTcjMOyA6uXVRN+lEIRL1PKeu4rfMLtLsrFxDl o/sl+uPec/DTMLWvZqbyA3MHvNEKmcglW5BzdNiK3rWiD0Go9/NpWiBEGJRj nrrepdiR7sb2poSEKn3O1YEIbTxPUAY7m7AJvHE2CQDLB18LJEp+K95nOYZq Pstw1lraC1hszYsW8FrzWch0cVgCLM1rd4hHQdXHVVyGGde+GDd+9TgtYKrU 4EfXXoZJLm4CNb2qWhSVmeLTJ2jR4bO4yws4rPmEWC22uKqOazPqrhMMQndz bc3LQEhWNOAycJnSdzypwD7lixYgb1buwspClTsI+PqpQagVwjkI1xR4fEku PxDMDACAgMcxRbD6hhLC5+pS3fTo3bM/WzpSgj14B0WDOSe0FY4HKacH1YOz sdbFwwecUEqHj46BET8aT6F3M+CM3VZ0NulR3+fTZOS7CcFd31lVl12+tvJM YlJC1XFngXxQd2IwVif0jmZpbV72Hk2l1yzdvqraUl6xoQatLtOgRaSoVXKA HZ8jO7t0mwMfmtdw5KR4k+LU6IMMFdlj8olrRUU+xWQFGOpCs7y9g7O8tb7T euVsgci/INFiLqdf4Xb/0oQl/stujdb3Fy0v+vyk8oAISASlEQ6KDvye1SXf UyV+uHZheyn3ER4gfNV1NyoYU4930VZ5F9HnVF/muyFJwsxAD2fgQ0A2o4+a +dBi+qXZjH4sDXdobvTqQ44UMnjBKyoXLb8nzOqrlzq/LFCnHBlRHjH6xyqO XPDjvAYV+7q1LGBvrnfxANja6fpp1OnDrn0fk+kY86M2zoZKzPx4EDhhwkWP plPPGEyfvxwtz6fUKTTOS8u+hkjlgBtKfuO1dyUDkzJqCEE3gLsaXCoCy/Pc CzvEQd3eXAM359XuTnettbEeGlb0Y8zHRVYQrAOADoNfJjsgklnk6PSQEDda nGF+nAcJMcczMX062hY8CdHNciR8CQfCXc58YPMcdYz5rdJMG3LkSlF5tbcS lqADjlYhFlZJvgW5WdNH0acKj3lFwE3PG50FoX7qdZVjFn6p59knP1U27TAh 3+PPoVTh9lE1Drg7qkahwm+wcm9WvQSZ0SNfwnyyanawDdEfmR8+biJa0RhS t31RVasaFcllVinN6+VXz3sQkzJ8jNOnas6sMTAwAHLzBo4Co+uEm9iPe7yl 6gpyY/URw2b06lsQfpj6Mn1f4I3FlJYeypquBE/Gxe6XQapLsUVwOUQH1+JT Npko7o5OooFG3OTqeDnIAbNc5Ok2n5KhzXyexK3JBbXiHeVFpb23J+T7GxGq P1y1hvPRKPqcpff4W+BMhKyIM2rc6osayJznR36SxJeHzAliP2H++FWTRb5d v/If9YFr48Joskd9QLyqzr0KIjSDrUEfYWMQvDx+7U/zomgb8YCSpIYJjfIb xKR6DDkOUbCJeGDBkHQDvxJkDv3TXyrpl0k8uJ7ffBN0JUl8wVJxiwZgwhDI YsNBV3KhgxDcVWMU8Td+dgWBdRP9jL9J3CCDQuQhAR2pVh0CULdBAuIk7Vut DWEeq4ekQUP6fygJMRs+IONzwG8khQD4TbnyioNpQ4+ydMDGTXTn16spndIN Xc24KqBu5DbtSMKgphRmaPM9c45oDTz2L0MeMzk70DdJcUv+AR70zebOzs5u GGbl2AUX0RAqhtKuB2XzH/ERDYrESAqh2DDTbXggNoawCRp0UWy4t+api1+j vkEB89TFrDH9MM+rYWtqcGseOUK1UDS2VIP1YYpgE1KfRueYDwgg2WypF6gj xAOeTi2JSuO0DfS2ZqXxReAo2FIe0a+nEOyoOvWMcuXMpI15D2aSH/esb9AB /BBEEYgM2ElVSEnZ0+YDxUsKNxvdRHXX1yuUvD4E2YAPDBMiBxibu9iRF7iZ VHShvwX9G+PdhLStuVanPOiv+QXAXHEohDRuyUgxJjxu5IrlU99dgGZkj1J6 3RXFGDIR1pUdA+LiInQjQwmjMjClVbfXczEk8fNGzdvhYNC5nM123SfWNeyv wF46Oh4RCrwFRcAbzgluyo0Qg0mHwYKeEzLiDPKUorwxkA8EtwfDWb+Ojmnv v+QAERIr4FKiA4a3qWQKIYp9NVQoF04ym6V3EwzjJLIP0ZsWBXVSenWKbgbm /8/8Gpo+yMeWCEgiMmJxmsLjDqt511EL+WrdKiGhzjnAzcPyvQOeriZ8Plac aFpAyLlOnpfLyLRWNJ8McG+b6LSSP58+E5mBMEcBI5iDS6ln9ITCwXQGcmh0 C2DwR0mfw5hphauRHJnTjxL1kebHUDIp/mTOI5z28mlZ93IlzBVL0WGPJ3vk 60MR8/akfF4FmlxG8wR4xkl8oQY5+wLwgm9Oz4/4a3yM8H+v4W1K1NQGlKbd NT8j6WQsmsdKMd1KZwoJ9xzQtCM1yurpX9QNl5OF44bd3Oz56tBKN0jetKUc 7Ba7yjCsUpng0eOYPdAclhPot1qJA0y6aEGUMQ9zom9i0IOtLkCar25t7oTV 7ar6u1wdQ+PK2/H+YBBfZQDlmJtwTMW3p/GHdBL/ima3xrugEjUdFUT+MCv6 00prvzcM8T7Eg7OboNURwuzhe8J6kmoiYd1CeKzd15T6YyY5PIpHY0zSCIzn baa/Ya9xJno0E9trlTOhan3tTLzNqmdCkX+2mYD3/DfMBL+mcibqf0TRA8d+ Gw3b2113H0uAQb8NTue5ORV4g74hUuCrWOtj4mSqI/MjYJYU6uaItwYNvCJT /zJwxpTx4IHdqUnHKi2TkUH9PpxPEbHAOX7FcC+kU0nD7RVcn9J/zbMp2Q2C XTaphRDtWp8kDAiqrnPBk6NF0wT5Zbpqnnob8NfZJOVNsWDOLnBgeepKiwj2 klqgsJWcQPOVOF7xbehLb4vSjqirWb3sy43FKvHb/C4fP9yx27wp7TKksmL2 f7b5gSjl2onTzig765uULWHb2bIs5OuLDf4cipKoOn8hfvuRJzBXWbxw4r+c r7gFfW59ALmnbBF5jzzONLPqrqk+7yjptNvdcVCjpAx0qiTbdGBhWOCGd8y5 ceiDZnhIzzlV5wIizYCiqD1OC4RBYb0FM6gCqcr9bUWZC8gnRcMXvlkKQ57Z Ofh2kEZyvgUTQKjAoKq5cFH9Y+ATRqOEc9FeXJ8c7LvkyNTd2PEFN+ZU9whA 0r/VFyGfH5Hd45/gSAqqvQjTbVgqcJqqK41sohTZAifsMgLhIxblUYlJ+BIz HPXqOPGLQZPdQfXBNcCToBp7o46cem5qq39rr90eORX0ttZCk2HvgRNInAVw /5Dr1GaPDYz7U0Y8yPe+nuUtnIfyri37yIrjc5ZPolH6OWVkXohKmWJkCufL VTJDOhry7Z289ta2OBZiY9PmHrYfPR1yaqJVwBROpzOaJ1uYObArgnxAdzqU NUTyO4OyxXEyoPYck64IM7wh8lJhLoSW3MUsR6Ayez9cUN0dKNkG8vAYiGuk bTl4Q1rW1KK+HwH+mTPpRi+Ggc9/ZoVpKzoyalddp0Lg0VdLNbeFKz2BItvK eMhRBjC1aooVP3HHmHLKQQSSHNsXBbMjENIwCxL7NsoXmxv8o6gVNAszORGl zWYYBR03QqhqNgMimVxqaxoJm7f/+pbvVEqf5cQTH19k+fl93BTLjVC67Gn/ 2oDc0zS435vk7LOxttHqrbmbMbgT28Jfq6RGqO1je5lWVSqL/4OKhY90+55K NXHtoBJxZx7qmylzWR1CxtkYtKvxmzc+Y5fq45cvX0autC79f6zcEijC3kr2 1Fd3lWpS7jr0y6ASuu3OoKNOD3TIWZ+hPgUKLHSbqu9aJcXAZEUhBXu5ew5a akDPuRgt1bfy5hje+y1MvIbyAvuuKFc27nZ3trYxNHpny72XIL7eh/kY7BXx OUMQoC3Wjij6nR9w2lK1S9zxJtVeNMrHoGaGRBqQ5ynlCOiDzin4JQnPFE/q mPbjizSZ9m/jQyW+9xVzzywwGwQBJNMH8+gBpTz1Tc+S/LzL80/xyTguVYqP c4AEochraAniFFAmRfKS2F7fgNFRfzddoEa+6WCGD2TzLxrnCeaY0KvnQwrG BoSVm91au3F5wbByGdiJDQsvJc8Q5clOazXLTkU0Y9oocsxPFgG8pR+g3tRm viiy5cHdk04tdPfkx3pco/Dj44naoPgxwQDaCOnwWA9G86QAHV+m2eZx3TX2 GNIVo+fG2eHZ6+jD0fuzX4/USQPOGjeDa3QwtTgS8OuJdaqmPps+Fn6Qmuj9 XiSKNU4cFoLdlCVeoGe+i19h/LnVlkynagvZ0piLJBAgp/ipbQF42eNC5hV6 QZeIfOrrGjXyi1/1xY8enkjQe0q985dIdgXt0VWOVsdJNlIc2FlotMhIdsV0 muObkHthNeCQHs5fyMG7VPFLKbSofBSJIHoZlCOkEq+HbXqt98aa26FDQ61D qK2eu2SblcQcOi+KF7yK0R8aM42Q8gJylEzSPkq5gtRBMs7HSvQexQeKG8ei HTUt9mEnj6M9q5AJTLFgHSfYzCt/2L2ZKB+ZAsgAvjnrpNIwrY1bUFqfL1Wm 56pD9fld7AzlJQ/VoHPd1ibCgcOfrjk09t+d8K3ZjKTkpna81RRA2UMCg+U6 1hYIJuhCX8ZN5kpEWsZksw/RMMMEZgjCS0kXlcCkSNKDJh9Fdt4hB/s0eeCF hA7J+9Ob+ACwtdWtSN1MF4GbqCY3EGK4YOedK4baeKajatdYYC/4qmipMKRv rk7xYpKPBwhzcaz9pSpwVdRLTihqc83SvkSYX/T0GctzgK/mI2AgMIQAmzEm r66SDKNpqSmxz95l19gA8h+gyL41Y/UvSEEAFgucOLR5QgJ1S4ze95DOELib fVZZFHPlF288OcwSfmTD0U6XLBJrPauKxTo4LmmhSVAeu31YGLg8q6JLQQLy K3graY4RybScFOvtmdvRMpPTfsbJaT/n5LQDk9P2jP4H0xQkQGwuqAEw1SaP Y+N4kBZ91Vcw+WOJQ/V9mk3UYW+xxO8Iih38rJolD1JAgu8Opp+/yfVCEl/A DN2igfycCBC1vi09SH9WdT4XY05eqb/xw7eQTDaZ3unvlExDLQad2lMk0IRv 7FAK2SPf5clg1z6wyUDh+meTgeI38RS88E1yULWwZiYVJn0j74n1dbV51qNV /MfGlvS0YTPEtRL6PxXoWGScecg4CQmcCcdese0xJK9UAiLeZlLAUtKaKsfo Q2kbDHoWpLA1GO4GfPxODZb6eTpDHX8B24RrkMVT0IIcBgCdPgb99F2COPLo JpJPB5B22eZtJyVtwWj8xWvhBgh+GcdgE1VnfefEZKDEJPKeePEs7XfJfUUX HNB7mHTRNJPKghI9wEymQ9AnXqhXxfqOG2tuVrQkreu0n8BSQd2jJgkWcgzo Bs4xIo5UQcxXdn5121xyX988M3J0d5foa23NqJJJB9XqJgMsP3E74/wMmy70 rKIdu24rwB5phCSjKXCQssrNFde5covtQ7/RQutX1Tb/rZXNM4NJaYeDA5CN IbIl9CS9C/18oLoRpAQG20nwAbrih54AtFv45cgKg606OAv3A66H4SeUrTj0 iJh96Ml5Mo3VqyrGRZ2ur6ofdXs1z9arnh2NsuB44zMlK1Q9A9kLn1HGx0lg iQVmX6yy8gKQiue7iiflZWCflVeCeFZeDPZhYD24t93KdvqrQjwqLwzxMLA2 7NPA8rAPQyvEGbjSIvGfeuuk9Hi95nFptXiP/QXjPq5cM865td9HdwbFu6cQ rQbaITUDcPPC+OiC0g2ri2nU4DxPNu6akxE3vfNhaZJevZoXmCZrM401MWA6 clzecgCCqPeyqGMItVHp9uohzRin5YulS7mCglMmRMS0wscuLCtkumuoTVmx w7ey61dgJVV3zWv8nnShcfq9F4GAG4u2O8leoiAe/5KNK9cR7fOHxmmiP7ZV rfQA4i8oy68sVvZ6EIkuPA/PxsowmSUjkp9b0XQ+bs+yO6sHAUEVk+igfIMa gv5s9FByh6t7gzrtgQyp8umCKcbMpzRNIEnblZTuY0RK9Yaopu++HrQ6z8fX jcAj37NwHPxKNUNR9sa4S9EBkPkJXfMVV5HMjNQ89wk4dCWDqJgjaAV6jXjR 1kuSLFeqf4dsddknkRhfXOIpsBcufIVqCADhUQTCSvVLxb/h1ozBR5fIzCGn ETNz/40NfuNl+mWGoXH8avreuGi2ym3UjYNoFluDvtfVQN27qEHfVY0ycrs8 kPr5eJjdzBnLnM1HItiWzifdbZ+Sku2VINGfdfQ/8FIIFj49TvIBJL+jMfN0 3W4L9wfgQhf/PMqvk1F8cZ/N+rco13lnI8Wmow5kmt3cggZplvXRbxEDzDGA BJU787E65AHNUQc7wCOPGuy3Flx0WdkJtlkIkoAwZEqHpvUNlBiRApY1Xi/U 9jOUNn5Np9d5kVIEn8Y/kOPXQE+4d9BOA5tgfhK2iD1MUuQhCjlgtcJbYFuU qnIbKEfyO7nLTvcvq6z/WAJUSbHqHWir2H64lCeA954DM3PdnZ1eeyX6XyHL GH60GvOv6pZXU2ylFR1PUyXR5MPZPegmMOyH82+ejPudil5hO+2PJZeaaOHO l5xqiW3vMraFez5UvHbDm8KwtFElSmvXbAF1zqRQAVRmzMBhDXdKJ8dTGYUh AlGteFtna8PZEFd2Ef0x6gYW6FWhhNwlFxMDvZPp9CGfE4jrZJp/hjSYVX1c 8aSkpzMx67/jMDQMnMF+xB8gSSpqLZfoaWnZVXJCU8UqCR20fnGZ+VouKUg9 B4s0Q5Q67ltBXvl4/mgmtZo5cpGgh5h0yooqeGLwcZghPoJ8gBV6cjJ9PD4Y LLMUE3ycPxrGuabTtgxHclXIhbvqiOV4QYZ3oFBV62Ps70hOwXubgAtnoU33 kh4sIFpvGJEEXwdTCLONME8pyt6DjERviJGKWJgmkuhFJcmhNYXQIz7nkEMG mddNv68X65hyRsMrpeZYWz86IHQzPgnhVviazZLedRnd0v+P9S6Vp8SPe/qY sEuWOeeC/VV/OgQOBU+8pFTaGIrur3W35L/P8oFOz0zQNoDdodiyYqe2hzXH QqlnQtE9mXWMvSV+i8atKcaJclZuEcTj1mK8CPB9QMSIqnJ4hCiKgxiXH5gV q4rS+gRXEk79jB3J6lpxMv6MDmgYahpjKuuqohdpX3HDpP+pvhhsvSKdfk7j fXUS4HqaziezQlYo+0ZrAhX4GgCw7fxirS58wlpXPH3kQrLp2D524q2Pvige hJZO1BsUlJqZ83qY5PDzkUEaUHJGIQOoQH1BCmoJLIY6jFqlBhsPDaOStfcp rjKGB+nAwpuZ8X3cG82saPX1MYwsWNMaIIvGMD4gn4K3p+Mmz8UZH/q9Wkpg rXRKqOEzHkuNI9JqFOwvv7P9Ct1p17rdVleGRV+qU28y7cCf+HB+N9mVDyBR m0BCw8TqY7Akk4mOMK4Axw/PBB0Nq4tTLmOqYFbCEZkXvF+PMbbi6tj/nZm7 9ytMlPfTaZAsnBr+TzRd/q+s2jc/y3VppoiiZtA4CetAhwypGSNADF2JjA+6 Wsk9Qi3b9gjW2bdwj5DEF7hHuEUDAFtdQthSf9Z7pWgn4Mvg5+Qnb4q8D/hW vSO9H2P8fVRbPIZEBgAyir8AoWZTrDTzIUs9sYH5aJZZPmCFqjskRfgMLIUj 2TdBaiSHrwCfWSl00X0b5d3XfuNWSIKyQVqJNrNG16gNTOC/HNKI4jua+GFU MfiOBKvXQVJEQMfoEWfDNs0nOaB38Nml075T+4OU+iO0qs9y6BVqRLFZ0J+R ZWL0hk6QwkdqfzLTWC9Rg8LE4O2TXJ1isAUQdYGzxuOhX3YGRmpvmhx29oU9 oVTLOGEIxutD4zpR9Da/B9dkFmvlxcedPhHrzqPE4qcaoSmhB6E7C7QVBzXc R4Ta0yg8qjt3oJDOh+qGoF6AaHoSBB/43L5aX4H8xwQpjLh8IE/PcYqK9C4Z qyujGupk9FBk6A/2poUrCfyq9tUkq3LhRSUSWZF/2TDpI5oLHXuI/mfLKBkK HLj9+L9S42CKFA3TtSJqDFPVI8INRU5muwEXjorGaa9O0QAQ+JsQVHHv7qBB VqCSnZzVgvRoItFfpngYz5IvERwaPN6ZnerksxJJNecNUkLYBXQ/MbBJ0xT5 24+4TnihVSwGdUlS/C+ZIuJNhr6ayUzJVYTrg90co5CNMwnTmIabAUWLiD2s aPcZJlHMr++y2Yy8ZgQH263cO+mXBMTilt8KMUDYStjU9pW1vMpsuDcQi51O H4ilZIWkTy/UUG1BeubCVs6/iG5QM/AxZNaTwArI5ze3EI4Z3NVwQZWLOgVg IXYnctaUQdZmn7VwX+1bU2c34VGCjL3gQfW3epAejTZskWvO0KsIztQdyXBW as2g/M4SPSeq4+dUqmQaFxMlzp9i5OjF/JoS2P9EAnrAlEV3Nd93WVNr4fHc 3PVBZkVALfr2Z8X4hWLMsPD1yYW+2uOBPmusDzOwrBIxQDtoRaot/ZR1WOaI cNWnsP510lioVCalz5yEMU6BPWMjG0R+nOs2K66NGY6aHSldqXmaTZPxjyPF EdtQsujcPquEFXpBjZQVLh5wRN1prStJi/6AoPWHQToEPOPjnc1ufHF29eHg KD4++/AeBmrlh9/+bThUXLINeuTXbXS5H+CX31dACP2+/dtK53ilBWX/fbi9 3e5PJm0Ue9Vva/S/31tU6uyD+m1hqcvTJUoNJ5NlaJ2fLyi1urj1omB9B0TB +j7Yggu6ISjW94QKRr+VCtCyXxmqqY1+yKKV8iSvqP/7+/ft7yJ6dHB+Hp+d X56cnV6oB2r2j15zJfPr7+XZsCNYfpZPdcvLz2bj4LPVp7VGjGuoQeJxqE3i cahZzhAvN7B/l0znh9+OXqsOqLl5/UN6w5vVig/FfDKB9CrtIyO3wTz+/rtP BQisBEZn97V6I/yiXv5x/8OheaKGiCd4ydk83lmj7u9s1u2tnc1lSq2tL1Xq 1YJSq0s0X+yW+h7IgrWdEAXr+yEL1nZFrCK3AKmV/PX0tN1oex94tln9zPYx 8OxV8Nnq17da7LtQw+XjQNvF41Dz5eNAD5zpKG3qp25ZtWO/W7BVn2OvLr8n 4JyO/qCErnSIrz07ffdXXdwRaVgG7/SfV5AxZOvEF1EooB7qbaJOEf92e4w4 8n30Oc/U1Wiagv4/NmjPDfhZkf4N1if8qjoeY6oLcOL7HbSGWFFdeSZKlo5B gi7Vjn5TYwaWTxKPBo2T01/P/nwUY+nmd+rz9+DYPbMQaMguM3ZBgW97bb21 AdFu6xztln6ZpdMxjh0GT8aY4jrOxhSTDpdiAsbhgkryh6wQMSZREcNJA4V+ P5JkeDYUwT+kSqofRtGPL6OfDw7i46vTA1iC8dvo5Y9yKG9u+mDIvHv2hSgJ 1wynWyyAF7FNcBHqj8lSDQtF1YvVnWOW9mdxNgAgn2GmLvGcYwSe3iXTT3GB +t9Jno+iBukhYZmtwVr9jRgqDHjWQl0j38TgUtjIYAFHu1EW/TFaV39WV43D ET5HJwFY4+Qu8EfQpM2a0fv9v8Tv9w/enpwexe/PDo/osais2jaLsXlf4uns SwxsooEAMrG6Gj3Ab3/L/vE3qPUPuhqqLUSTSRc+NaGgjyngKp6g7rG41Vp7 vGbhnSy//qcamYKVonDBpXieQQq2OLADsaSD6X4TzIVlUYth6NKBulartaI7 3FdXVt32PmgmVFOT693oZX+2G/Vn0EWxrD4n06S4e+YlpYnWLCdbpLyUNl+9 wiQk/BfW0u+Gr7VxH1H1OMc0wMzYxAPJrqKoIOVbfKvGQY0N/In7FICpBilq rHdbpgymP4tvMU9ABPws8h6l/2pFp1fv3rFZZ3OHs0/A3+7ajtHSwwSBS0A8 SGZJzDTU22fAL/jrLnWM+O6qYqhZcev1gNg1rbtRcp2ODMcGd5H+bT71foYH 2ENAFH9Q93bRee1UCfuSFl6MYYn0kFa+rFsuIwikd/PZqKiqKp+KStQRiG+t quiX4MoIlB7fkE8Rt2tMXjQwGzge92nyqbaIbsV8jFhqehoKbsZveu+rrQu7 B674gcJSD/Q20apE1Oyhamswx02cRH01kbevAdMU00ePHqIiv0sJ0hrcjmbZ aAQCi9Z9we/+20iriCod0DXhTufXl4qKoUBupLtb3Kr3D2KNfhUDl/W77CyZ QPn2TzQxwAr1vFDNZUq7Tauqkw+HAPhmQu8rCxJq/uJyGPsti9lZDY5JLbnA 8KojCo6Fy6O/XMYXR3Ru71+8V1KkHnq523nIbRuch0hX/mLfZ04V/cLD/cv9 ihdKblN6ofMQX+gxp6oXXtS8sah9ZVF+Z7HkSz8c7R+iUF7z8iCXLTUiXAob U8Wmqxp1cHn24aKiMQDMU1Q2wn2KL3d+qpvvmpcOal86KL90sORL31xUvfK6 qH6hfIavEz/ULbDqtxV1rytK7ysWvNDZgOqEAtbtbULvV/f8sLVH5aqjZeqV q1XVAhGYL1oRDsrV5fnVZbz/7uTn06PDWI1Z9F//FSqhnsjJGucFeuHWzlqp kJk+/0l5WIEbRimYP2DwQCYefY+ReyA2Y6Cz+d0I0fBiKIJSmpBIp7PRM18X kWKNLMrPQ7nwtjkb3rZOn0IiYUSdvVPiNbiWsf8DYEJ2Oh26JioZ4mQcaTEX ju22vA9WSLG77q2xLNJ6BUIy4665o7ozssSEyKbDqPS9S6h6DxyFz39dIKr1 9wVdJjBPPQLjAzB9fffkCjEJjvMx+gH8b3Woj+lCSCvYyt+mQoYOMVL6hm2k n8LNICC1Oo9x4+hf4HWOaKYfJEWR3qnbILWoiP81T+dpqCDC4PJjuBvTblOT dAhBHHdgpVItNBjFh0cH7yg3GwDZogMD2vRaEQwtoxgbQZRQCTPMh5DPZ0WG iTzA/j5NxoWTw8EKr3cJ5ENkrw8KnEM6OtriAZbN/wG4tBQNOXkCAA== --=_3acc8060951a47670cdd38446bcd6557 Content-Type: application/x-gzip; name="testcase-for-ali-problem-described-in-gcc-2012-04-msg00654.tar.gz" Content-Disposition: attachment; filename="testcase-for-ali-problem-described-in-gcc-2012-04-msg00654.tar.gz" Content-Transfer-Encoding: base64 Content-Description: Content-length: 1851 H4sIALft3U8AA+1aW1PbOBjlFf+Kb1v2paltSZYtmw4zsMC0TLul2+u+MZbs BA+uw8ROgWn57ytLtsmFQDuFkHZ1JjiO9UnW5XznyCFlfhQ7ccLX7hFIIqC0 fsfMR+oz1p+RPvfXMGYBw9hjKFhDmCCC1gDdZ6dajMsqHgGsnaR5PhwMbohL R+UyOrRcnMbiJB6kwIfJBZSSDJCVFgD0x4WosmEBMYzSajwqZMQwT+OiKefp ICvqE4kmoBqN02f1pbRIIH5m1W91i8+shx6kwUKUOv/vldm35T9iuMt/GaHy 3yMm/5cBqxWA78l9k9O/HeRi8of3f9blfyD3Asr/fZP/y8Cs//NZDeDf7f/a SrodAO/Ughu1WFk0+f+g/o8p6fIfE0/7v9n/LwVnWXXcOPrkVuBWGZDhsljI wM1OGTa3Og0wqf+LQK6RWCn/94n2f2ryfxmY9X8xm/jiR/yfO7zzf9H5vzAi sLJo8n9l/J9QbJ7/l4jW//m0/98qA63/izn/VxpgUv8XgVyjZKX8P0DG/5eI Wf9PZhM/+RH/F47o/D/p/D8xIrCyaPJ/dfyf6f2/x0z+LwOt/4tp/79VBlr/ T+b8X2mASf1fBGVWDPLU5eMsT5zy+F7uof7F5/uL8h/Ll85/LyBMff/veb7Z /y8Fj/9weVa45bH12Hq+u3v07u3u1saLw7/33UpmdekOhLA/j/Mqsx7D89c7 7/GWOzyt3EERV26/7Ltn8Ze0PxoWlZtnPD1PRV3DzYIwsE+FnWfF+NweFGOX Or6DVDV81dK4HLnlSLiN8JTuXx8OXu2peypCqrZ0HV1jsmeqV3Nx7z4dvN99 sf9u65FdX0hyUO9nMdgHjiMPG1+bYV6qWnESP7I2VOuw8bWtfQmO47Zb48XF 4uZifnOx+t2NnIy4BPsj2P9cgD2E05OBo4/lbJFw6r+5y5/jrHCat7qwHq5c 0gTsc30xzrPmslyOE7C/dJfl3Bh5/n9DZdH9yv9t+o9o0Ol/QH2l/8QLjP4v A7+b/jdqOy/+87qvHWFC6CdVfVLCp/T6oRfsjqHzX3rBkTo7igfSG8rqSO8L nSTr93/6Hrc8/3ny1eW/r/Z/chfomfxfBmzbVvTWjwGa5Xm2TuQzuI0CGzPA wSb2NhFxwjAi8smcYeghgpDV6/XAWVSF0k0UOCREBGM/JE2V7W2wyVMGvfqw vW3BTpup3elZbMEby34LxV3C6v1cg1/mGgQLPqh/d//J19dbdVhfZ4wnzBMM Xu/D4SG8eQkHr2Ts3DTzxdPsY4KpNMDZab6uSjPNTE4zCSmamGb2FFPoqWM9 0aqvvOkr133tx7gvaJTO9LWNLbtYeRaGcYJYnML+RKz9Sc9AOTkDLSEAdvas 3k0R9b32oP0F6roaGgpwgCmO/AiEHwtEKG6C+GwQwYgBTeTzo5/2J4L4VJDc UQBnOBWY6ZYuyir93LaFIkRRhH0pSBEQLvcpyOMW/Au465dlk5fRE/XzSJrK oG/4LIBgRDB43+gIU6vXlc+XgfcRe0/ir81XJJcyRBYHujiqb0S6sclg3RCH MPchlDfzvmEuFzCQH4MqmmeRWMwiLFlEIhTNsui6Kg2LaCiTNfLIFItYTSJ2 xSHRcEjomUZYLgCNw2s5JJp1F3q6A+QzmobiGg5Nsq3j6BSHFkTcKYfqEWO/ HrI61mPeg6uxTrLKR0BEGPh9wX+AVROLbV8ttl7oljm0YVVXPl8GtGYVv2KV p1lFO1Z5bbevWCU6VtFpVj20BRkYGBgYGBgYGBgYGBgYGBgYGBgYGBgYGBgY GBgY3AH+A6nYSOwAUAAA --=_3acc8060951a47670cdd38446bcd6557--