From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: by sourceware.org (Postfix, from userid 1521) id 768993858CDB; Tue, 10 Jan 2023 06:23:00 +0000 (GMT) DKIM-Filter: OpenDKIM Filter v2.11.0 sourceware.org 768993858CDB DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=sourceware.org; s=default; t=1673331780; bh=oQ9M+tnpl8CPkbJ/PBgWIM1qL96ycOsdYFCcpwCS1FM=; h=From:To:Subject:Date:From; b=J9qKkgGi6Wcnwkn/bL1mq4a2Lt8RdrJ16+ASHfoXK7U3RvxwSOkjzF4tzeUrh3jN4 eUiIp/uchrQajrKMhSAkRfxeQqeZXKK4O9Solv9/I3du9Kf5PbsLO+2blt8EtlzZrP 0S9ftOp57zwYMM/CNW2KofBRVqAHrR5Sj1Lz8aHw= Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: quoted-printable From: Michael Frysinger To: gdb-cvs@sourceware.org Subject: [binutils-gdb] sim: bfin: move libsim.a creation to top-level X-Act-Checkin: binutils-gdb X-Git-Author: Mike Frysinger X-Git-Refname: refs/heads/master X-Git-Oldrev: c65b31b8681703fb1494b10110910f71ad5a7b7b X-Git-Newrev: bc1dd618aca9295190e65a6038f3609ab0720f0a Message-Id: <20230110062300.768993858CDB@sourceware.org> Date: Tue, 10 Jan 2023 06:23:00 +0000 (GMT) List-Id: https://sourceware.org/git/gitweb.cgi?p=3Dbinutils-gdb.git;h=3Dbc1dd618aca9= 295190e65a6038f3609ab0720f0a commit bc1dd618aca9295190e65a6038f3609ab0720f0a Author: Mike Frysinger Date: Mon Dec 26 11:04:26 2022 -0500 sim: bfin: move libsim.a creation to top-level =20 The objects are still compiled in the subdir, but the creation of the archive itself is in the top-level. This is a required step before we can move compilation itself up, and makes it easier to review. =20 The downside is that each object compile is a recursive make instead of a single one. On my 4 core system, it adds ~100msec to the build per port, so it's not great, but it shouldn't be a big deal. This will go away of course once the top-level compiles objects. Diff: --- sim/Makefile.in | 274 +++++++++++++++++++++++++++++------------------= ---- sim/bfin/Makefile.in | 9 +- sim/bfin/local.mk | 23 +++++ 3 files changed, 181 insertions(+), 125 deletions(-) diff --git a/sim/Makefile.in b/sim/Makefile.in index 594430dc2c9..b83b03ae057 100644 --- a/sim/Makefile.in +++ b/sim/Makefile.in @@ -147,102 +147,103 @@ TESTS =3D testsuite/common/bits32m0$(EXEEXT) \ @SIM_ENABLE_ARCH_arm_TRUE@am__append_11 =3D arm/run @SIM_ENABLE_ARCH_avr_TRUE@am__append_12 =3D avr/libsim.a @SIM_ENABLE_ARCH_avr_TRUE@am__append_13 =3D avr/run -@SIM_ENABLE_ARCH_bfin_TRUE@am__append_14 =3D bfin/run -@SIM_ENABLE_ARCH_bfin_TRUE@am__append_15 =3D bfin_SIM_EXTRA_HW_DEVICES=3D"= $(bfin_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_bpf_TRUE@am__append_16 =3D bpf/run -@SIM_ENABLE_ARCH_bpf_TRUE@am__append_17 =3D \ +@SIM_ENABLE_ARCH_bfin_TRUE@am__append_14 =3D bfin/libsim.a +@SIM_ENABLE_ARCH_bfin_TRUE@am__append_15 =3D bfin/run +@SIM_ENABLE_ARCH_bfin_TRUE@am__append_16 =3D bfin_SIM_EXTRA_HW_DEVICES=3D"= $(bfin_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_bpf_TRUE@am__append_17 =3D bpf/run +@SIM_ENABLE_ARCH_bpf_TRUE@am__append_18 =3D \ @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/eng-le.h \ @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/eng-be.h =20 -@SIM_ENABLE_ARCH_bpf_TRUE@am__append_18 =3D $(bpf_BUILD_OUTPUTS) @SIM_ENABLE_ARCH_bpf_TRUE@am__append_19 =3D $(bpf_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_cr16_TRUE@am__append_20 =3D cr16/run -@SIM_ENABLE_ARCH_cr16_TRUE@am__append_21 =3D cr16/simops.h -@SIM_ENABLE_ARCH_cr16_TRUE@am__append_22 =3D $(cr16_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_cr16_TRUE@am__append_23 =3D cr16/gencode -@SIM_ENABLE_ARCH_cr16_TRUE@am__append_24 =3D $(cr16_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_cris_TRUE@am__append_25 =3D cris/run -@SIM_ENABLE_ARCH_cris_TRUE@am__append_26 =3D cris_SIM_EXTRA_HW_DEVICES=3D"= $(cris_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_cris_TRUE@am__append_27 =3D cris/rvdummy -@SIM_ENABLE_ARCH_cris_TRUE@am__append_28 =3D \ +@SIM_ENABLE_ARCH_bpf_TRUE@am__append_20 =3D $(bpf_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_cr16_TRUE@am__append_21 =3D cr16/run +@SIM_ENABLE_ARCH_cr16_TRUE@am__append_22 =3D cr16/simops.h +@SIM_ENABLE_ARCH_cr16_TRUE@am__append_23 =3D $(cr16_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_cr16_TRUE@am__append_24 =3D cr16/gencode +@SIM_ENABLE_ARCH_cr16_TRUE@am__append_25 =3D $(cr16_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_cris_TRUE@am__append_26 =3D cris/run +@SIM_ENABLE_ARCH_cris_TRUE@am__append_27 =3D cris_SIM_EXTRA_HW_DEVICES=3D"= $(cris_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_cris_TRUE@am__append_28 =3D cris/rvdummy +@SIM_ENABLE_ARCH_cris_TRUE@am__append_29 =3D \ @SIM_ENABLE_ARCH_cris_TRUE@ cris/engv10.h \ @SIM_ENABLE_ARCH_cris_TRUE@ cris/engv32.h =20 -@SIM_ENABLE_ARCH_cris_TRUE@am__append_29 =3D $(cris_BUILD_OUTPUTS) @SIM_ENABLE_ARCH_cris_TRUE@am__append_30 =3D $(cris_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_d10v_TRUE@am__append_31 =3D d10v/run -@SIM_ENABLE_ARCH_d10v_TRUE@am__append_32 =3D d10v/simops.h -@SIM_ENABLE_ARCH_d10v_TRUE@am__append_33 =3D $(d10v_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_d10v_TRUE@am__append_34 =3D d10v/gencode -@SIM_ENABLE_ARCH_d10v_TRUE@am__append_35 =3D $(d10v_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_erc32_TRUE@am__append_36 =3D erc32/run erc32/sis -@SIM_ENABLE_ARCH_erc32_TRUE@am__append_37 =3D sim-%D-install-exec-local -@SIM_ENABLE_ARCH_erc32_TRUE@am__append_38 =3D sim-erc32-uninstall-local -@SIM_ENABLE_ARCH_examples_TRUE@am__append_39 =3D example-synacor/run -@SIM_ENABLE_ARCH_frv_TRUE@am__append_40 =3D frv/run -@SIM_ENABLE_ARCH_frv_TRUE@am__append_41 =3D frv/eng.h -@SIM_ENABLE_ARCH_frv_TRUE@am__append_42 =3D $(frv_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_cris_TRUE@am__append_31 =3D $(cris_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_d10v_TRUE@am__append_32 =3D d10v/run +@SIM_ENABLE_ARCH_d10v_TRUE@am__append_33 =3D d10v/simops.h +@SIM_ENABLE_ARCH_d10v_TRUE@am__append_34 =3D $(d10v_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_d10v_TRUE@am__append_35 =3D d10v/gencode +@SIM_ENABLE_ARCH_d10v_TRUE@am__append_36 =3D $(d10v_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_erc32_TRUE@am__append_37 =3D erc32/run erc32/sis +@SIM_ENABLE_ARCH_erc32_TRUE@am__append_38 =3D sim-%D-install-exec-local +@SIM_ENABLE_ARCH_erc32_TRUE@am__append_39 =3D sim-erc32-uninstall-local +@SIM_ENABLE_ARCH_examples_TRUE@am__append_40 =3D example-synacor/run +@SIM_ENABLE_ARCH_frv_TRUE@am__append_41 =3D frv/run +@SIM_ENABLE_ARCH_frv_TRUE@am__append_42 =3D frv/eng.h @SIM_ENABLE_ARCH_frv_TRUE@am__append_43 =3D $(frv_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_ft32_TRUE@am__append_44 =3D ft32/run -@SIM_ENABLE_ARCH_h8300_TRUE@am__append_45 =3D h8300/run -@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_46 =3D iq2000/run -@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_47 =3D iq2000/eng.h -@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_48 =3D $(iq2000_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_frv_TRUE@am__append_44 =3D $(frv_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_ft32_TRUE@am__append_45 =3D ft32/run +@SIM_ENABLE_ARCH_h8300_TRUE@am__append_46 =3D h8300/run +@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_47 =3D iq2000/run +@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_48 =3D iq2000/eng.h @SIM_ENABLE_ARCH_iq2000_TRUE@am__append_49 =3D $(iq2000_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_lm32_TRUE@am__append_50 =3D lm32/run -@SIM_ENABLE_ARCH_lm32_TRUE@am__append_51 =3D lm32_SIM_EXTRA_HW_DEVICES=3D"= $(lm32_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_lm32_TRUE@am__append_52 =3D lm32/eng.h -@SIM_ENABLE_ARCH_lm32_TRUE@am__append_53 =3D $(lm32_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_50 =3D $(iq2000_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_lm32_TRUE@am__append_51 =3D lm32/run +@SIM_ENABLE_ARCH_lm32_TRUE@am__append_52 =3D lm32_SIM_EXTRA_HW_DEVICES=3D"= $(lm32_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_lm32_TRUE@am__append_53 =3D lm32/eng.h @SIM_ENABLE_ARCH_lm32_TRUE@am__append_54 =3D $(lm32_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_m32c_TRUE@am__append_55 =3D m32c/run -@SIM_ENABLE_ARCH_m32c_TRUE@am__append_56 =3D $(m32c_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_m32c_TRUE@am__append_57 =3D m32c/opc2c -@SIM_ENABLE_ARCH_m32c_TRUE@am__append_58 =3D \ +@SIM_ENABLE_ARCH_lm32_TRUE@am__append_55 =3D $(lm32_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_m32c_TRUE@am__append_56 =3D m32c/run +@SIM_ENABLE_ARCH_m32c_TRUE@am__append_57 =3D $(m32c_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_m32c_TRUE@am__append_58 =3D m32c/opc2c +@SIM_ENABLE_ARCH_m32c_TRUE@am__append_59 =3D \ @SIM_ENABLE_ARCH_m32c_TRUE@ $(m32c_BUILD_OUTPUTS) \ @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/m32c.c.log \ @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/r8c.c.log =20 -@SIM_ENABLE_ARCH_m32r_TRUE@am__append_59 =3D m32r/run -@SIM_ENABLE_ARCH_m32r_TRUE@am__append_60 =3D m32r_SIM_EXTRA_HW_DEVICES=3D"= $(m32r_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_m32r_TRUE@am__append_61 =3D \ +@SIM_ENABLE_ARCH_m32r_TRUE@am__append_60 =3D m32r/run +@SIM_ENABLE_ARCH_m32r_TRUE@am__append_61 =3D m32r_SIM_EXTRA_HW_DEVICES=3D"= $(m32r_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_m32r_TRUE@am__append_62 =3D \ @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/eng.h \ @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/engx.h \ @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/eng2.h =20 -@SIM_ENABLE_ARCH_m32r_TRUE@am__append_62 =3D $(m32r_BUILD_OUTPUTS) @SIM_ENABLE_ARCH_m32r_TRUE@am__append_63 =3D $(m32r_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_64 =3D m68hc11/run -@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_65 =3D m68hc11_SIM_EXTRA_HW_DEVIC= ES=3D"$(m68hc11_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_66 =3D $(m68hc11_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_67 =3D m68hc11/gencode -@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_68 =3D $(m68hc11_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_mcore_TRUE@am__append_69 =3D mcore/run -@SIM_ENABLE_ARCH_microblaze_TRUE@am__append_70 =3D microblaze/run -@SIM_ENABLE_ARCH_mips_TRUE@am__append_71 =3D mips/run -@SIM_ENABLE_ARCH_mips_TRUE@am__append_72 =3D mips_SIM_EXTRA_HW_DEVICES=3D"= $(mips_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_mips_TRUE@am__append_73 =3D mips/itable.h \ +@SIM_ENABLE_ARCH_m32r_TRUE@am__append_64 =3D $(m32r_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_65 =3D m68hc11/run +@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_66 =3D m68hc11_SIM_EXTRA_HW_DEVIC= ES=3D"$(m68hc11_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_67 =3D $(m68hc11_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_68 =3D m68hc11/gencode +@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_69 =3D $(m68hc11_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_mcore_TRUE@am__append_70 =3D mcore/run +@SIM_ENABLE_ARCH_microblaze_TRUE@am__append_71 =3D microblaze/run +@SIM_ENABLE_ARCH_mips_TRUE@am__append_72 =3D mips/run +@SIM_ENABLE_ARCH_mips_TRUE@am__append_73 =3D mips_SIM_EXTRA_HW_DEVICES=3D"= $(mips_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_mips_TRUE@am__append_74 =3D mips/itable.h \ @SIM_ENABLE_ARCH_mips_TRUE@ $(SIM_MIPS_MULTI_SRC) -@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@am__append_74 = =3D \ +@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@am__append_75 = =3D \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@ $(mips_BUILT_SR= C_FROM_GEN_MODE_SINGLE) \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@ mips/stamp-gen-= mode-single =20 -@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@am__append_75 =3D \ +@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@am__append_76 =3D \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@ $(mips_BUILT_SRC_F= ROM_GEN_MODE_M16_M16) \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@ $(mips_BUILT_SRC_F= ROM_GEN_MODE_M16_M32) \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@ mips/stamp-gen-mod= e-m16-m16 \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@ mips/stamp-gen-mod= e-m16-m32 =20 -@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__append_76 =3D= \ +@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__append_77 =3D= \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@ $(SIM_MIPS_MULTI= _SRC) \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@ mips/stamp-gen-m= ode-multi-igen \ @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@ mips/stamp-gen-m= ode-multi-run =20 -@SIM_ENABLE_ARCH_mips_TRUE@am__append_77 =3D $(mips_BUILD_OUTPUTS) @SIM_ENABLE_ARCH_mips_TRUE@am__append_78 =3D $(mips_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_mips_TRUE@am__append_79 =3D mips/multi-include.h mips/mul= ti-run.c -@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_80 =3D mn10300/run -@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_81 =3D mn10300_SIM_EXTRA_HW_DEVIC= ES=3D"$(mn10300_SIM_EXTRA_HW_DEVICES)" -@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_82 =3D \ +@SIM_ENABLE_ARCH_mips_TRUE@am__append_79 =3D $(mips_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_mips_TRUE@am__append_80 =3D mips/multi-include.h mips/mul= ti-run.c +@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_81 =3D mn10300/run +@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_82 =3D mn10300_SIM_EXTRA_HW_DEVIC= ES=3D"$(mn10300_SIM_EXTRA_HW_DEVICES)" +@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_83 =3D \ @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/icache.h \ @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/idecode.h \ @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/semantics.h \ @@ -251,29 +252,29 @@ TESTS =3D testsuite/common/bits32m0$(EXEEXT) \ @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/itable.h \ @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/engine.h =20 -@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_83 =3D $(mn10300_BUILD_OUTPUTS) @SIM_ENABLE_ARCH_mn10300_TRUE@am__append_84 =3D $(mn10300_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_moxie_TRUE@am__append_85 =3D moxie/run -@SIM_ENABLE_ARCH_msp430_TRUE@am__append_86 =3D msp430/run -@SIM_ENABLE_ARCH_or1k_TRUE@am__append_87 =3D or1k/run -@SIM_ENABLE_ARCH_or1k_TRUE@am__append_88 =3D or1k/eng.h -@SIM_ENABLE_ARCH_or1k_TRUE@am__append_89 =3D $(or1k_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_85 =3D $(mn10300_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_moxie_TRUE@am__append_86 =3D moxie/run +@SIM_ENABLE_ARCH_msp430_TRUE@am__append_87 =3D msp430/run +@SIM_ENABLE_ARCH_or1k_TRUE@am__append_88 =3D or1k/run +@SIM_ENABLE_ARCH_or1k_TRUE@am__append_89 =3D or1k/eng.h @SIM_ENABLE_ARCH_or1k_TRUE@am__append_90 =3D $(or1k_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_ppc_TRUE@am__append_91 =3D ppc/run ppc/psim -@SIM_ENABLE_ARCH_pru_TRUE@am__append_92 =3D pru/run -@SIM_ENABLE_ARCH_riscv_TRUE@am__append_93 =3D riscv/run -@SIM_ENABLE_ARCH_rl78_TRUE@am__append_94 =3D rl78/run -@SIM_ENABLE_ARCH_rx_TRUE@am__append_95 =3D rx/run -@SIM_ENABLE_ARCH_sh_TRUE@am__append_96 =3D sh/run -@SIM_ENABLE_ARCH_sh_TRUE@am__append_97 =3D \ +@SIM_ENABLE_ARCH_or1k_TRUE@am__append_91 =3D $(or1k_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_ppc_TRUE@am__append_92 =3D ppc/run ppc/psim +@SIM_ENABLE_ARCH_pru_TRUE@am__append_93 =3D pru/run +@SIM_ENABLE_ARCH_riscv_TRUE@am__append_94 =3D riscv/run +@SIM_ENABLE_ARCH_rl78_TRUE@am__append_95 =3D rl78/run +@SIM_ENABLE_ARCH_rx_TRUE@am__append_96 =3D rx/run +@SIM_ENABLE_ARCH_sh_TRUE@am__append_97 =3D sh/run +@SIM_ENABLE_ARCH_sh_TRUE@am__append_98 =3D \ @SIM_ENABLE_ARCH_sh_TRUE@ sh/code.c \ @SIM_ENABLE_ARCH_sh_TRUE@ sh/ppi.c =20 -@SIM_ENABLE_ARCH_sh_TRUE@am__append_98 =3D $(sh_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_sh_TRUE@am__append_99 =3D sh/gencode -@SIM_ENABLE_ARCH_sh_TRUE@am__append_100 =3D $(sh_BUILD_OUTPUTS) -@SIM_ENABLE_ARCH_v850_TRUE@am__append_101 =3D v850/run -@SIM_ENABLE_ARCH_v850_TRUE@am__append_102 =3D \ +@SIM_ENABLE_ARCH_sh_TRUE@am__append_99 =3D $(sh_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_sh_TRUE@am__append_100 =3D sh/gencode +@SIM_ENABLE_ARCH_sh_TRUE@am__append_101 =3D $(sh_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_v850_TRUE@am__append_102 =3D v850/run +@SIM_ENABLE_ARCH_v850_TRUE@am__append_103 =3D \ @SIM_ENABLE_ARCH_v850_TRUE@ v850/icache.h \ @SIM_ENABLE_ARCH_v850_TRUE@ v850/idecode.h \ @SIM_ENABLE_ARCH_v850_TRUE@ v850/semantics.h \ @@ -282,8 +283,8 @@ TESTS =3D testsuite/common/bits32m0$(EXEEXT) \ @SIM_ENABLE_ARCH_v850_TRUE@ v850/itable.h \ @SIM_ENABLE_ARCH_v850_TRUE@ v850/engine.h =20 -@SIM_ENABLE_ARCH_v850_TRUE@am__append_103 =3D $(v850_BUILD_OUTPUTS) @SIM_ENABLE_ARCH_v850_TRUE@am__append_104 =3D $(v850_BUILD_OUTPUTS) +@SIM_ENABLE_ARCH_v850_TRUE@am__append_105 =3D $(v850_BUILD_OUTPUTS) subdir =3D . ACLOCAL_M4 =3D $(top_srcdir)/aclocal.m4 am__aclocal_m4_deps =3D $(top_srcdir)/../config/acx.m4 \ @@ -387,6 +388,19 @@ avr_libsim_a_AR =3D $(AR) $(ARFLAGS) @SIM_ENABLE_ARCH_avr_TRUE@ avr/modules.o avr/sim-resume.o am_avr_libsim_a_OBJECTS =3D avr_libsim_a_OBJECTS =3D $(am_avr_libsim_a_OBJECTS) +bfin_libsim_a_AR =3D $(AR) $(ARFLAGS) +@SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_DEPENDENCIES =3D $(patsubst \ +@SIM_ENABLE_ARCH_bfin_TRUE@ %,bfin/%,$(SIM_NEW_COMMON_OBJS)) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ $(patsubst \ +@SIM_ENABLE_ARCH_bfin_TRUE@ %,bfin/dv-%.o,$(SIM_HW_DEVICES)) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ $(patsubst \ +@SIM_ENABLE_ARCH_bfin_TRUE@ %,bfin/dv-%.o,$(bfin_SIM_EXTRA_HW_DEVICES)) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/bfin-sim.o bfin/devices.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/gui.o bfin/interp.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/machs.o bfin/modules.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/sim-resume.o +am_bfin_libsim_a_OBJECTS =3D +bfin_libsim_a_OBJECTS =3D $(am_bfin_libsim_a_OBJECTS) common_libcommon_a_AR =3D $(AR) $(ARFLAGS) common_libcommon_a_LIBADD =3D am_common_libcommon_a_OBJECTS =3D common/callback.$(OBJEXT) \ @@ -740,13 +754,13 @@ am__v_CCLD_ =3D $(am__v_CCLD_@AM_DEFAULT_V@) am__v_CCLD_0 =3D @echo " CCLD " $@; am__v_CCLD_1 =3D=20 SOURCES =3D $(aarch64_libsim_a_SOURCES) $(arm_libsim_a_SOURCES) \ - $(avr_libsim_a_SOURCES) $(common_libcommon_a_SOURCES) \ - $(igen_libigen_a_SOURCES) $(aarch64_run_SOURCES) \ - $(arm_run_SOURCES) $(avr_run_SOURCES) $(bfin_run_SOURCES) \ - $(bpf_run_SOURCES) $(cr16_gencode_SOURCES) $(cr16_run_SOURCES) \ - $(cris_run_SOURCES) $(cris_rvdummy_SOURCES) \ - $(d10v_gencode_SOURCES) $(d10v_run_SOURCES) \ - $(erc32_run_SOURCES) erc32/sis.c \ + $(avr_libsim_a_SOURCES) $(bfin_libsim_a_SOURCES) \ + $(common_libcommon_a_SOURCES) $(igen_libigen_a_SOURCES) \ + $(aarch64_run_SOURCES) $(arm_run_SOURCES) $(avr_run_SOURCES) \ + $(bfin_run_SOURCES) $(bpf_run_SOURCES) $(cr16_gencode_SOURCES) \ + $(cr16_run_SOURCES) $(cris_run_SOURCES) \ + $(cris_rvdummy_SOURCES) $(d10v_gencode_SOURCES) \ + $(d10v_run_SOURCES) $(erc32_run_SOURCES) erc32/sis.c \ $(example_synacor_run_SOURCES) $(frv_run_SOURCES) \ $(ft32_run_SOURCES) $(h8300_run_SOURCES) \ $(igen_filter_SOURCES) $(igen_gen_SOURCES) \ @@ -1297,32 +1311,32 @@ srccom =3D $(srcdir)/common srcroot =3D $(srcdir)/.. SUBDIRS =3D @subdirs@ $(SIM_SUBDIRS) AM_MAKEFLAGS =3D SIM_NEW_COMMON_OBJS_=3D"$(SIM_NEW_COMMON_OBJS)" \ - $(am__append_3) $(am__append_15) $(am__append_26) \ - $(am__append_51) $(am__append_60) $(am__append_65) \ - $(am__append_72) $(am__append_81) + $(am__append_3) $(am__append_16) $(am__append_27) \ + $(am__append_52) $(am__append_61) $(am__append_66) \ + $(am__append_73) $(am__append_82) pkginclude_HEADERS =3D $(am__append_1) noinst_LIBRARIES =3D common/libcommon.a $(am__append_5) $(am__append_8) \ - $(am__append_10) $(am__append_12) -BUILT_SOURCES =3D $(am__append_17) $(am__append_21) $(am__append_28) \ - $(am__append_32) $(am__append_41) $(am__append_47) \ - $(am__append_52) $(am__append_61) $(am__append_73) \ - $(am__append_82) $(am__append_88) $(am__append_97) \ - $(am__append_102) + $(am__append_10) $(am__append_12) $(am__append_14) +BUILT_SOURCES =3D $(am__append_18) $(am__append_22) $(am__append_29) \ + $(am__append_33) $(am__append_42) $(am__append_48) \ + $(am__append_53) $(am__append_62) $(am__append_74) \ + $(am__append_83) $(am__append_89) $(am__append_98) \ + $(am__append_103) CLEANFILES =3D common/version.c common/version.c-stamp \ testsuite/common/bits-gen testsuite/common/bits32m0.c \ testsuite/common/bits32m31.c testsuite/common/bits64m0.c \ testsuite/common/bits64m63.c -DISTCLEANFILES =3D $(am__append_79) +DISTCLEANFILES =3D $(am__append_80) MOSTLYCLEANFILES =3D core $(common_HW_CONFIG_H_TARGETS) $(patsubst \ %,%/stamp-hw,$(SIM_ENABLED_ARCHES)) \ $(common_GEN_MODULES_C_TARGETS) $(patsubst \ %,%/stamp-modules,$(SIM_ENABLED_ARCHES)) $(am__append_7) \ - site-sim-config.exp testrun.log testrun.sum $(am__append_19) \ - $(am__append_24) $(am__append_30) $(am__append_35) \ - $(am__append_43) $(am__append_49) $(am__append_54) \ - $(am__append_58) $(am__append_63) $(am__append_68) \ - $(am__append_78) $(am__append_84) $(am__append_90) \ - $(am__append_100) $(am__append_104) + site-sim-config.exp testrun.log testrun.sum $(am__append_20) \ + $(am__append_25) $(am__append_31) $(am__append_36) \ + $(am__append_44) $(am__append_50) $(am__append_55) \ + $(am__append_59) $(am__append_64) $(am__append_69) \ + $(am__append_79) $(am__append_85) $(am__append_91) \ + $(am__append_101) $(am__append_105) AM_CFLAGS =3D $(WERROR_CFLAGS) $(WARN_CFLAGS) AM_CPPFLAGS =3D $(INCGNU) -I$(srcroot)/include -I../bfd -I.. \ $(SIM_HW_CFLAGS) $(SIM_INLINE) -I$(srcdir)/common \ @@ -1333,15 +1347,15 @@ COMPILE_FOR_BUILD =3D $(CC_FOR_BUILD) $(AM_CPPFLAGS= _FOR_BUILD) $(CPPFLAGS_FOR_BUIL LINK_FOR_BUILD =3D $(CC_FOR_BUILD) $(CFLAGS_FOR_BUILD) $(LDFLAGS_FOR_BUILD= ) -o $@ SIM_ALL_RECURSIVE_DEPS =3D common/libcommon.a \ $(common_HW_CONFIG_H_TARGETS) $(common_GEN_MODULES_C_TARGETS) \ - $(am__append_4) $(am__append_18) $(am__append_22) \ - $(am__append_29) $(am__append_33) $(am__append_42) \ - $(am__append_48) $(am__append_53) $(am__append_56) \ - $(am__append_62) $(am__append_66) $(am__append_77) \ - $(am__append_83) $(am__append_89) $(am__append_98) \ - $(am__append_103) + $(am__append_4) $(am__append_19) $(am__append_23) \ + $(am__append_30) $(am__append_34) $(am__append_43) \ + $(am__append_49) $(am__append_54) $(am__append_57) \ + $(am__append_63) $(am__append_67) $(am__append_78) \ + $(am__append_84) $(am__append_90) $(am__append_99) \ + $(am__append_104) SIM_INSTALL_DATA_LOCAL_DEPS =3D=20 -SIM_INSTALL_EXEC_LOCAL_DEPS =3D $(am__append_37) -SIM_UNINSTALL_LOCAL_DEPS =3D $(am__append_38) +SIM_INSTALL_EXEC_LOCAL_DEPS =3D $(am__append_38) +SIM_UNINSTALL_LOCAL_DEPS =3D $(am__append_39) common_libcommon_a_SOURCES =3D \ common/callback.c \ common/portability.c \ @@ -1561,6 +1575,20 @@ testsuite_common_CPPFLAGS =3D \ @SIM_ENABLE_ARCH_avr_TRUE@ avr/libsim.a \ @SIM_ENABLE_ARCH_avr_TRUE@ $(SIM_COMMON_LIBS) =20 +@SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_SOURCES =3D=20 +@SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_LIBADD =3D \ +@SIM_ENABLE_ARCH_bfin_TRUE@ $(common_libcommon_a_OBJECTS) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ $(patsubst %,bfin/%,$(SIM_NEW_COMMON_OBJS)) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ $(patsubst %,bfin/dv-%.o,$(SIM_HW_DEVICES)) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ $(patsubst %,bfin/dv-%.o,$(bfin_SIM_EXTRA_HW_D= EVICES)) \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/bfin-sim.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/devices.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/gui.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/interp.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/machs.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/modules.o \ +@SIM_ENABLE_ARCH_bfin_TRUE@ bfin/sim-resume.o + @SIM_ENABLE_ARCH_bfin_TRUE@bfin_run_SOURCES =3D=20 @SIM_ENABLE_ARCH_bfin_TRUE@bfin_run_LDADD =3D \ @SIM_ENABLE_ARCH_bfin_TRUE@ bfin/nrun.o \ @@ -1818,8 +1846,8 @@ testsuite_common_CPPFLAGS =3D \ @SIM_ENABLE_ARCH_mips_TRUE@mips_BUILD_OUTPUTS =3D \ @SIM_ENABLE_ARCH_mips_TRUE@ $(mips_BUILT_SRC_FROM_IGEN_ITABLE) \ @SIM_ENABLE_ARCH_mips_TRUE@ mips/stamp-igen-itable \ -@SIM_ENABLE_ARCH_mips_TRUE@ $(am__append_74) $(am__append_75) \ -@SIM_ENABLE_ARCH_mips_TRUE@ $(am__append_76) +@SIM_ENABLE_ARCH_mips_TRUE@ $(am__append_75) $(am__append_76) \ +@SIM_ENABLE_ARCH_mips_TRUE@ $(am__append_77) @SIM_ENABLE_ARCH_mips_TRUE@mips_IGEN_TRACE =3D # -G omit-line-numbers # -G= trace-rule-selection -G trace-rule-rejection -G trace-entries # -G trace-a= ll @SIM_ENABLE_ARCH_mips_TRUE@mips_IGEN_INSN =3D $(srcdir)/mips/mips.igen @SIM_ENABLE_ARCH_mips_TRUE@mips_IGEN_INSN_INC =3D \ @@ -2187,6 +2215,14 @@ avr/libsim.a: $(avr_libsim_a_OBJECTS) $(avr_libsim_a= _DEPENDENCIES) $(EXTRA_avr_l $(AM_V_at)-rm -f avr/libsim.a $(AM_V_AR)$(avr_libsim_a_AR) avr/libsim.a $(avr_libsim_a_OBJECTS) $(avr_l= ibsim_a_LIBADD) $(AM_V_at)$(RANLIB) avr/libsim.a +bfin/$(am__dirstamp): + @$(MKDIR_P) bfin + @: > bfin/$(am__dirstamp) + +bfin/libsim.a: $(bfin_libsim_a_OBJECTS) $(bfin_libsim_a_DEPENDENCIES) $(EX= TRA_bfin_libsim_a_DEPENDENCIES) bfin/$(am__dirstamp) + $(AM_V_at)-rm -f bfin/libsim.a + $(AM_V_AR)$(bfin_libsim_a_AR) bfin/libsim.a $(bfin_libsim_a_OBJECTS) $(bf= in_libsim_a_LIBADD) + $(AM_V_at)$(RANLIB) bfin/libsim.a common/$(am__dirstamp): @$(MKDIR_P) common @: > common/$(am__dirstamp) @@ -2288,9 +2324,6 @@ arm/run$(EXEEXT): $(arm_run_OBJECTS) $(arm_run_DEPEND= ENCIES) $(EXTRA_arm_run_DEP avr/run$(EXEEXT): $(avr_run_OBJECTS) $(avr_run_DEPENDENCIES) $(EXTRA_avr_r= un_DEPENDENCIES) avr/$(am__dirstamp) @rm -f avr/run$(EXEEXT) $(AM_V_CCLD)$(LINK) $(avr_run_OBJECTS) $(avr_run_LDADD) $(LIBS) -bfin/$(am__dirstamp): - @$(MKDIR_P) bfin - @: > bfin/$(am__dirstamp) =20 bfin/run$(EXEEXT): $(bfin_run_OBJECTS) $(bfin_run_DEPENDENCIES) $(EXTRA_bf= in_run_DEPENDENCIES) bfin/$(am__dirstamp) @rm -f bfin/run$(EXEEXT) @@ -3608,6 +3641,13 @@ testsuite/common/bits64m63.c: testsuite/common/bits-= gen$(EXEEXT) testsuite/commo =20 @SIM_ENABLE_ARCH_avr_TRUE@avr/%.o: common/%.c @SIM_ENABLE_ARCH_avr_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F) +@SIM_ENABLE_ARCH_bfin_TRUE@$(bfin_libsim_a_OBJECTS) $(bfin_libsim_a_LIBADD= ): bfin/hw-config.h + +@SIM_ENABLE_ARCH_bfin_TRUE@bfin/%.o: bfin/%.c +@SIM_ENABLE_ARCH_bfin_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@= F) + +@SIM_ENABLE_ARCH_bfin_TRUE@bfin/%.o: common/%.c +@SIM_ENABLE_ARCH_bfin_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@= F) =20 @SIM_ENABLE_ARCH_bfin_TRUE@bfin/linux-fixed-code.h: @MAINT@ $(srcdir)/bfin= /linux-fixed-code.s bfin/local.mk bfin/$(am__dirstamp) @SIM_ENABLE_ARCH_bfin_TRUE@ $(AM_V_GEN)$(AS_FOR_TARGET_BFIN) $(srcdir)/bfi= n/linux-fixed-code.s -o bfin/linux-fixed-code.o diff --git a/sim/bfin/Makefile.in b/sim/bfin/Makefile.in index 2ecad1d8af7..700e641d149 100644 --- a/sim/bfin/Makefile.in +++ b/sim/bfin/Makefile.in @@ -19,14 +19,7 @@ =20 arch =3D bfin =20 -SIM_OBJS =3D \ - $(SIM_NEW_COMMON_OBJS) \ - bfin-sim.o \ - devices.o \ - gui.o \ - interp.o \ - machs.o \ - sim-resume.o +SIM_LIBSIM =3D =20 SIM_EXTRA_CFLAGS =3D $(SDL_CFLAGS) =20 diff --git a/sim/bfin/local.mk b/sim/bfin/local.mk index 80df254c786..95bc73000cb 100644 --- a/sim/bfin/local.mk +++ b/sim/bfin/local.mk @@ -16,6 +16,29 @@ ## You should have received a copy of the GNU General Public License ## along with this program. If not, see . =20 +%C%_libsim_a_SOURCES =3D +%C%_libsim_a_LIBADD =3D \ + $(common_libcommon_a_OBJECTS) \ + $(patsubst %,%D%/%,$(SIM_NEW_COMMON_OBJS)) \ + $(patsubst %,%D%/dv-%.o,$(SIM_HW_DEVICES)) \ + $(patsubst %,%D%/dv-%.o,$(%C%_SIM_EXTRA_HW_DEVICES)) \ + %D%/bfin-sim.o \ + %D%/devices.o \ + %D%/gui.o \ + %D%/interp.o \ + %D%/machs.o \ + %D%/modules.o \ + %D%/sim-resume.o +$(%C%_libsim_a_OBJECTS) $(%C%_libsim_a_LIBADD): %D%/hw-config.h + +noinst_LIBRARIES +=3D %D%/libsim.a + +%D%/%.o: %D%/%.c + $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F) + +%D%/%.o: common/%.c + $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F) + %C%_run_SOURCES =3D %C%_run_LDADD =3D \ %D%/nrun.o \