public inbox for cygwin@cygwin.com
 help / color / mirror / Atom feed
* core dump on rebaseall
@ 2016-02-24 23:30 Michael Steiner
  2016-02-25  1:15 ` Larry Hall (Cygwin)
  2016-02-26 23:06 ` Michael Steiner
  0 siblings, 2 replies; 4+ messages in thread
From: Michael Steiner @ 2016-02-24 23:30 UTC (permalink / raw)
  To: cygwin

[-- Attachment #1: Type: text/plain, Size: 1334 bytes --]

Hi,

Chasing a vfork issue in emacs prevening on sub-shell or dired on a
uptodate cygwin install, i followed the FAQ and tried to do a
rebaseall.  Unfortunately, that resulted in a core dump with the
rebase.exe.statckdump mentioning a STATUS_ACCESS_VIOLATION problem!  I
then also noticed, that an update with the latest version of the
setup.exe also causes a rebase.exe.stackdump in c:\cygwin64!.
Subsequent attempts rebasing in safe mode or after re-installing
everything (via setup and click on All until it says re-install) had
the same problem, as did upgrading cyginw, cygwin-debuginfo and
cygwin-devel from the current 2.4.1-1 to 2.5.0.4 :-(   [The vfork
problem in emacs, though, did disappear ..]

I have cygwin running via a few months and didn't change anything in
the config recently (although i did run setup to update to latest
version). Unfortunately, i have no idea when setup also caused to
coredump on rebase. It might have done it already for a while but as
it doesn't complain, i have no idea when the rebase.exe.stackdump
started showing up in c:\cygwin64.

I googled for this problem but couldn't find any references to rebase
itself failing.  Anybody has an idea what's going on and/or how to
debug or work around?

-michael-

PS: I'm running cygwin on Win 8.1 (64-big); see attached cygcheckout
for more info.

[-- Attachment #2: cygcheck.out --]
[-- Type: application/octet-stream, Size: 101410 bytes --]


Cygwin Configuration Diagnostics
Current System Time: Wed Feb 24 22:50:38 2016

Windows 8.1 Enterprise Ver 6.3 Build 9600 

Path:	C:\cygwin64\home\msteiner\bin
	C:\Program Files (x86)\FreeMind\
	C:\Program Files (x86)\Foxit Software\Foxit Reader\
	C:\cygwin64\usr\local\bin
	C:\cygwin64\bin
	C:\Program Files (x86)\Common Files\Intel\Shared Libraries\redist\intel64\compiler
	C:\Program Files (x86)\Common Files\Intel\Shared Libraries\redist\ia32\compiler
	C:\ProgramData\Oracle\Java\javapath
	C:\Program Files (x86)\Intel\iCLS Client
	C:\Program Files\Intel\iCLS Client
	C:\windows\system32
	C:\windows
	C:\windows\System32\Wbem
	C:\windows\System32\WindowsPowerShell\v1.0
	C:\Program Files\Intel\Intel(R) Management Engine Components\DAL
	C:\Program Files (x86)\Intel\Intel(R) Management Engine Components\DAL
	C:\Program Files\Intel\Intel(R) Management Engine Components\IPT
	C:\Program Files (x86)\Intel\Intel(R) Management Engine Components\IPT
	C:\Program Files (x86)\F-Secure\ssh
	C:\Program Files (x86)\Microsoft ASP.NET\ASP.NET Web Pages\v1.0
	C:\Program Files\Microsoft SQL Server\110\Tools\Binn
	C:\Program Files\Intel\WiFi\bin
	C:\Program Files\Common Files\Intel\WirelessCommon
	C:\Program Files (x86)\Windows Kits\8.1\Windows Performance Toolkit
	C:\Program Files\Git\cmd
	C:\Program Files\Microsoft\Web Platform Installer
	C:\Program Files\Microsoft SQL Server\120\Tools\Binn
	C:\Program Files (x86)\Intel\IntelSGXSDK\bin\x64\Release
	C:\Program Files (x86)\Intel\IntelSGXSDK\bin\win32\Release
	C:\Program Files\TortoiseSVN\bin
	C:\Program Files\Intel\WiFi\bin
	C:\Program Files\Common Files\Intel\WirelessCommon
	C:\cygwin64\lib\lapack
	C:\Program Files (x86)\Android\android-sdk\platform-tools

Output from C:\cygwin64\bin\id.exe
UID: 4190646(msteiner)
GID: 1049089(Domain Users)
1049089(Domain Users)
559(Performance Log Users)
545(Users)
4(INTERACTIVE)
66049(CONSOLE LOGON)
11(Authenticated Users)
15(This Organization)
4095(CurrentSession)
66048(LOCAL)
1422302(AllSiteUsers_OR)
4230629(PE Applications)
3394326(AllEmployeesInRegionCode_US)
1256514(ibike_or)
3608182(IL SPR All)
2704658(IL All)
3606460(IL SPR OR)
3042357(employee_hotspot_users)
3766701(GoogleAppsCollaboration)
1758957(Rialto-I_AMR_Employee)
1438162(DT All Intel BB)
2019695(DTTCWebSecure)
4277702(DevTools_RePoi PM)
3606240(IL SPR ESL All)
4235972(EC AMR SSG DPD-CST R)
2681315(H2O_LITE_AMR)
3583898(DevTools_Master)
3916656(IL ADR PRL PUBLIC)
3606239(IL SPR ESL OR)
2705197(IL OR)
1865917(AMR Employee 1)
4277706(DevTools_RePoi User)
4277703(DevTools_RePoi SW Dev)
3619678(SAP IPS SSG PORTAL PRD_Y_EIM_EMP_CONTACT_NP)
1741984(AMR Employee)
3804098(MDP_MARS_READ_ALL)
3606324(IL SPR ESL USA)
1460563(SolveItUsers)
2941293(Secure Enclave Arch Release)
1758941(Rialto_SAP_Portal_AMR_Employee)
1707385(ibike_ride)
2379241(DTS_Customers)
2961439(BAP_AMR)
3460391(DTS_Customers_CDIS)
536911959(CORP+ORGU58460 - SPR)
536884582(CORP+ORGU21813 - Platform Trust & Services)
536915581(CORP+Employee_Non_CCR)
536883970(CORP+ORGU22640 - Intel Labs)
536974603(CORP+ORGU91811 - NEW TECHNOLOGY GROUP)
536873662(CORP+Employee)
536876185(CORP+ORGU22790 - Executive Office -2)
536877336(CORP+ORGU10000 - Intel Board of Directors)
536967488(CORP+ORGU88369 - SPR-ESL-CLOUD SECURITY ARCH)
3221849010(GAR+PSTReadonly)
2685018632(GER+Func security SKL)
2685300458(GER+AMR_EMPLOYEE_FOR_GER)
70145(Authentication authority asserted identity)
401408(Medium Mandatory Level)

SysDir: C:\windows\system32
WinDir: C:\windows

USER = 'msteiner'
PWD = '/home/msteiner'
HOME = '/home/msteiner'

USERDOMAIN_ROAMINGPROFILE = 'AMR'
HOMEPATH = '\Users\msteiner'
APPDATA = 'C:\Users\msteiner\AppData\Roaming'
SSH_AGENT_PID = '7416'
ProgramW6432 = 'C:\Program Files'
HOSTNAME = 'lovelace2'
PROCDIRLOG = '"C:\MININT\SMSOSD\OSDLOGS"'
INTEL_LICENSE_FILE = 'C:\Program Files (x86)\Common Files\Intel\Licenses'
SHELL = '/bin/bash'
TERM = 'xterm'
PROCESSOR_IDENTIFIER = 'Intel64 Family 6 Model 61 Stepping 4, GenuineIntel'
PROFILEREAD = 'true'
WINDIR = 'C:\windows'
PUBLIC = 'C:\Users\Public'
OLDPWD = '/cygdrive/c/windows/system32'
ORIGINAL_PATH = '/cygdrive/c/Program Files (x86)/Common Files/Intel/Shared Libraries/redist/intel64/compiler:/cygdrive/c/Program Files (x86)/Common Files/Intel/Shared Libraries/redist/ia32/compiler:/cygdrive/c/ProgramData/Oracle/Java/javapath:/cygdrive/c/Program Files (x86)/Intel/iCLS Client:/cygdrive/c/Program Files/Intel/iCLS Client:/cygdrive/c/windows/system32:/cygdrive/c/windows:/cygdrive/c/windows/System32/Wbem:/cygdrive/c/windows/System32/WindowsPowerShell/v1.0:/cygdrive/c/Program Files/Intel/Intel(R) Management Engine Components/DAL:/cygdrive/c/Program Files (x86)/Intel/Intel(R) Management Engine Components/DAL:/cygdrive/c/Program Files/Intel/Intel(R) Management Engine Components/IPT:/cygdrive/c/Program Files (x86)/Intel/Intel(R) Management Engine Components/IPT:/cygdrive/c/Program Files (x86)/F-Secure/ssh:/cygdrive/c/Program Files (x86)/Microsoft ASP.NET/ASP.NET Web Pages/v1.0:/cygdrive/c/Program Files/Microsoft SQL Server/110/Tools/Binn:/cygdrive/c/Program Files/Intel/WiFi/bin:/cygdrive/c/Program Files/Common Files/Intel/WirelessCommon:/cygdrive/c/Program Files (x86)/Windows Kits/8.1/Windows Performance Toolkit:/cygdrive/c/Program Files/Git/cmd:/cygdrive/c/Program Files/Microsoft/Web Platform Installer:/cygdrive/c/Program Files/Microsoft SQL Server/120/Tools/Binn:/cygdrive/c/Program Files (x86)/Intel/IntelSGXSDK/bin/x64/Release:/cygdrive/c/Program Files (x86)/Intel/IntelSGXSDK/bin/win32/Release:/cygdrive/c/Program Files/TortoiseSVN/bin:/cygdrive/c/Program Files/Intel/WiFi/bin:/cygdrive/c/Program Files/Common Files/Intel/WirelessCommon'
USERDOMAIN = 'AMR'
CommonProgramFiles(x86) = 'C:\Program Files (x86)\Common Files'
UATDATA = 'C:\windows\SysWOW64\CCM\UATData\D9F8C395-CAB8-491d-B8AC-179A1FE1BE77'
OS = 'Windows_NT'
ALLUSERSPROFILE = 'C:\ProgramData'
HTTP_PROXY = 'http://proxy.jf.intel.com:911'
!:: = '::\'
TEMP = '/tmp'
DEFLOGDIR = 'C:\ProgramData\McAfee\DesktopProtection'
COMMONPROGRAMFILES = 'C:\Program Files\Common Files'
SSH_AUTH_SOCK = '/tmp/ssh-oXuooxTWpWDi/agent.6544'
_JAVA_OPTIONS = '-Djava.net.preferIPv4Stack=true'
USERNAME = 'msteiner'
PROCESSOR_LEVEL = '6'
ProgramFiles(x86) = 'C:\Program Files (x86)'
INTEL_DEV_REDIST = 'C:\Program Files (x86)\Common Files\Intel\Shared Libraries\'
PSModulePath = 'C:\windows\system32\WindowsPowerShell\v1.0\Modules\;C:\Program Files\WindowsPowerShell\Modules;C:\Program Files (x86)\Microsoft SDKs\Azure\PowerShell\ResourceManager\AzureResourceManager;C:\Program Files (x86)\Microsoft SDKs\Azure\PowerShell\ServiceManagement'
FP_NO_HOST_CHECK = 'NO'
SYSTEMDRIVE = 'C:'
IntelLogs = 'C:\Intel\Logs'
LANG = 'en_US.UTF-8'
USERPROFILE = 'C:\Users\msteiner'
TZ = 'America/Los_Angeles'
PS1 = '\[\e]0;\w\a\]\n\[\e[32m\]\u@\h \[\e[33m\]\w\[\e[0m\]\n\$ '
LOGONSERVER = '\\ORSAMR401'
CommonProgramW6432 = 'C:\Program Files\Common Files'
HTTPS_PROXY = 'https://proxy.jf.intel.com:911'
PROCESSOR_ARCHITECTURE = 'AMD64'
LOCALAPPDATA = 'C:\Users\msteiner\AppData\Local'
VTUNE_AMPLIFIER_XE_2013_DIR = 'C:\Program Files (x86)\Intel\VTune Amplifier XE 2013\'
ProgramData = 'C:\ProgramData'
EXECIGNORE = '*.dll'
SHLVL = '1'
USERDNSDOMAIN = 'AMR.CORP.INTEL.COM'
PATHEXT = '.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC'
HOMEDRIVE = 'C:'
VBOX_MSI_INSTALL_PATH = 'C:\Program Files\Oracle\VirtualBox\'
SGXSDKInstallPath = 'C:\Program Files (x86)\Intel\IntelSGXSDK\'
COMSPEC = 'C:\windows\system32\cmd.exe'
LESS = '-MqseiXFR'
TMP = '/tmp'
SYSTEMROOT = 'C:\windows'
PRINTER = '\\AMRCloudPrint07.amr.corp.intel.com\CloudPrint'
PROCESSOR_REVISION = '3d04'
INFOPATH = '/usr/local/info:/usr/share/info:/usr/info'
PROGRAMFILES = 'C:\Program Files'
VS140COMNTOOLS = 'C:\Program Files (x86)\Microsoft Visual Studio 14.0\Common7\Tools\'
VS110COMNTOOLS = 'C:\Program Files (x86)\Microsoft Visual Studio 11.0\Common7\Tools\'
NUMBER_OF_PROCESSORS = '4'
ADVISOR_XE_2013_DIR = 'C:\Program Files (x86)\Intel\Advisor XE 2013\'
VSEDEFLOGDIR = 'C:\ProgramData\McAfee\DesktopProtection'
INSPECTOR_XE_2013_DIR = 'C:\Program Files (x86)\Intel\Inspector XE 2013\'
ICPP_COMPILER13 = 'C:\Program Files (x86)\Intel\Composer XE 2013\'
SESSIONNAME = 'Console'
COMPUTERNAME = 'LOVELACE2'
_ = '/usr/bin/cygcheck'

HKEY_CURRENT_USER\Software\Cygwin
HKEY_CURRENT_USER\Software\Cygwin\Installations
  (default) = '\??\C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\Installations
  (default) = '\??\C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\setup
  (default) = 'C:\cygwin64'

obcaseinsensitive set to 1

Cygwin installations found in the registry:
  System: Key: e022582115c10879 Path: C:\cygwin64
  User:   Key: e022582115c10879 Path: C:\cygwin64

c:  hd  NTFS    456933Mb  42% CP CS UN PA FC     OSDisk

C:\cygwin64      /          system  binary,auto
C:\cygwin64\bin  /usr/bin   system  binary,auto
C:\cygwin64\lib  /usr/lib   system  binary,auto
cygdrive prefix  /cygdrive  user    binary,posix=0,auto

Found: C:\cygwin64\bin\awk
 -> C:\cygwin64\bin\gawk.exe
Found: C:\cygwin64\bin\bash.exe
Found: C:\cygwin64\bin\cat.exe
Found: C:\cygwin64\bin\cp.exe
Found: C:\cygwin64\bin\cpp.exe
Found: C:\cygwin64\bin\crontab.exe
Found: C:\cygwin64\bin\find.exe
Found: C:\windows\system32\find.exe
Warning: C:\cygwin64\bin\find.exe hides C:\windows\system32\find.exe
Found: C:\cygwin64\bin\gcc.exe
Found: C:\cygwin64\bin\gdb.exe
Found: C:\cygwin64\bin\grep.exe
Found: C:\cygwin64\bin\kill.exe
Found: C:\cygwin64\bin\ld.exe
Found: C:\cygwin64\bin\ls.exe
Found: C:\cygwin64\bin\make.exe
Found: C:\cygwin64\bin\mv.exe
Not Found: patch
Found: C:\cygwin64\bin\perl.exe
Found: C:\cygwin64\bin\rm.exe
Found: C:\cygwin64\bin\sed.exe
Found: C:\cygwin64\bin\ssh.exe
Found: C:\cygwin64\bin\sh.exe
Found: C:\cygwin64\bin\tar.exe
Found: C:\cygwin64\bin\test.exe
Found: C:\cygwin64\bin\vi.exe
Found: C:\cygwin64\bin\vim.exe

   53k 2013/11/01 C:\cygwin64\bin\cyganthy-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthy-0.dll" v0.0 ts=2013-11-01 07:21
  249k 2013/11/01 C:\cygwin64\bin\cyganthydic-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthydic-0.dll" v0.0 ts=2013-11-01 07:20
   51k 2013/11/01 C:\cygwin64\bin\cyganthyinput-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthyinput-0.dll" v0.0 ts=2013-11-01 07:21
  154k 2015/05/23 C:\cygwin64\bin\cygapr-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygapr-1-0.dll" v0.0 ts=2015-05-23 02:30
  128k 2015/05/23 C:\cygwin64\bin\cygaprutil-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygaprutil-1-0.dll" v0.0 ts=2015-05-23 03:31
  578k 2015/06/01 C:\cygwin64\bin\cygarchive-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygarchive-13.dll" v0.0 ts=2015-06-01 22:04
   38k 2013/07/19 C:\cygwin64\bin\cygargp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygargp-0.dll" v0.0 ts=2013-07-19 15:07
  154k 2016/02/23 C:\cygwin64\bin\cygasmrun_shared.dll - os=4.0 img=0.0 sys=5.2
                  "cygasmrun_shared.dll" v0.0 ts=2016-02-23 10:45
  117k 2015/11/15 C:\cygwin64\bin\cygatk-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatk-1.0-0.dll" v0.0 ts=2015-11-15 02:34
  159k 2015/11/15 C:\cygwin64\bin\cygatk-bridge-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatk-bridge-2.0-0.dll" v0.0 ts=2015-11-15 02:47
   88k 2016/02/17 C:\cygwin64\bin\cygatomic-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygatomic-1.dll" v0.0 ts=2016-02-17 18:03
  147k 2015/11/15 C:\cygwin64\bin\cygatspi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatspi-0.dll" v0.0 ts=2015-11-15 02:42
   16k 2013/03/26 C:\cygwin64\bin\cygattr-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygattr-1.dll" v0.0 ts=2013-03-26 18:26
  178k 2015/02/11 C:\cygwin64\bin\cygautotrace-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygautotrace-3.dll" v0.0 ts=2015-02-11 13:59
  180k 2015/03/23 C:\cygwin64\bin\cygblkid-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygblkid-1.dll" v0.0 ts=2015-03-23 09:46
   64k 2013/03/07 C:\cygwin64\bin\cygbz2-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygbz2-1.dll" v0.0 ts=2013-03-07 02:54
 1087k 2015/11/23 C:\cygwin64\bin\cygcairo-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-2.dll" v0.0 ts=2015-11-23 20:30
   27k 2015/11/23 C:\cygwin64\bin\cygcairo-gobject-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-gobject-2.dll" v0.0 ts=2015-11-23 20:31
  119k 2015/11/23 C:\cygwin64\bin\cygcairo-script-interpreter-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-script-interpreter-2.dll" v0.0 ts=2015-11-23 20:31
  164k 2016/02/23 C:\cygwin64\bin\cygcamlrun_shared.dll - os=4.0 img=0.0 sys=5.2
                  "cygcamlrun_shared.dll" v0.0 ts=2016-02-23 10:44
   13k 2013/03/06 C:\cygwin64\bin\cygcatgets1.dll - os=4.0 img=0.0 sys=5.2
                  "cygcatgets1.dll" v0.0 ts=2013-03-06 14:34
  117k 2013/05/05 C:\cygwin64\bin\cygcloog-isl-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygcloog-isl-4.dll" v0.0 ts=2013-05-05 16:41
   13k 2015/03/19 C:\cygwin64\bin\cygcom_err-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcom_err-2.dll" v0.0 ts=2015-03-19 02:44
  203k 2015/11/15 C:\cygwin64\bin\cygcroco-0.6-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygcroco-0.6-3.dll" v0.0 ts=2015-11-15 02:29
    9k 2013/03/07 C:\cygwin64\bin\cygcrypt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcrypt-0.dll" v0.0 ts=2013-03-07 09:29
 2217k 2016/01/28 C:\cygwin64\bin\cygcrypto-1.0.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcrypto-1.0.0.dll" v0.0 ts=2016-01-28 18:55
  452k 2016/02/08 C:\cygwin64\bin\cygcurl-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygcurl-4.dll" v0.0 ts=2016-02-08 22:57
   24k 2014/11/12 C:\cygwin64\bin\cygdatrie-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygdatrie-1.dll" v0.0 ts=2014-11-12 07:24
 1526k 2013/03/08 C:\cygwin64\bin\cygdb-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb-5.3.dll" v0.0 ts=2013-03-08 07:55
  242k 2015/02/17 C:\cygwin64\bin\cygdbus-1-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdbus-1-3.dll" v0.0 ts=2015-02-17 19:16
  111k 2013/03/08 C:\cygwin64\bin\cygdb_cxx-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb_cxx-5.3.dll" v0.0 ts=2013-03-08 07:56
  472k 2013/03/08 C:\cygwin64\bin\cygdb_sql-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb_sql-5.3.dll" v0.0 ts=2013-03-08 07:58
  173k 2015/03/13 C:\cygwin64\bin\cygdialog-12.dll - os=4.0 img=0.0 sys=5.2
                  "cygdialog-12.dll" v0.0 ts=2015-03-13 20:55
  154k 2013/10/20 C:\cygwin64\bin\cygedit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygedit-0.dll" v0.0 ts=2013-10-20 21:56
   92k 2016/02/10 C:\cygwin64\bin\cygEGL-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygEGL-1.dll" v0.0 ts=2016-02-10 23:46
  158k 2013/04/23 C:\cygwin64\bin\cygEMF-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygEMF-1.dll" v0.0 ts=2013-04-23 06:39
  663k 2015/05/17 C:\cygwin64\bin\cygepoxy-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygepoxy-0.dll" v0.0 ts=2015-05-17 17:32
  229k 2016/01/08 C:\cygwin64\bin\cygevent-2-0-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygevent-2-0-5.dll" v0.0 ts=2016-01-08 07:24
  136k 2016/01/08 C:\cygwin64\bin\cygevent_core-2-0-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygevent_core-2-0-5.dll" v0.0 ts=2016-01-08 07:24
  106k 2016/01/08 C:\cygwin64\bin\cygevent_extra-2-0-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygevent_extra-2-0-5.dll" v0.0 ts=2016-01-08 07:24
   21k 2016/01/08 C:\cygwin64\bin\cygevent_openssl-2-0-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygevent_openssl-2-0-5.dll" v0.0 ts=2016-01-08 07:24
   10k 2016/01/08 C:\cygwin64\bin\cygevent_pthreads-2-0-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygevent_pthreads-2-0-5.dll" v0.0 ts=2016-01-08 07:24
  147k 2013/07/31 C:\cygwin64\bin\cygexpat-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygexpat-1.dll" v0.0 ts=2013-07-31 22:53
   73k 2015/03/18 C:\cygwin64\bin\cygexslt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygexslt-0.dll" v0.0 ts=2015-03-19 00:39
   30k 2014/10/14 C:\cygwin64\bin\cygfam-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygfam-0.dll" v0.0 ts=2014-10-14 21:33
   27k 2015/11/17 C:\cygwin64\bin\cygffi-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygffi-6.dll" v0.0 ts=2015-11-17 22:14
 1067k 2015/07/31 C:\cygwin64\bin\cygfftw3-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3-3.dll" v0.0 ts=2015-07-31 21:05
 1025k 2015/07/31 C:\cygwin64\bin\cygfftw3f-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3f-3.dll" v0.0 ts=2015-07-31 20:50
   24k 2015/07/31 C:\cygwin64\bin\cygfftw3f_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3f_threads-3.dll" v0.0 ts=2015-07-31 20:51
   24k 2015/07/31 C:\cygwin64\bin\cygfftw3_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3_threads-3.dll" v0.0 ts=2015-07-31 21:05
  220k 2015/09/10 C:\cygwin64\bin\cygfontconfig-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfontconfig-1.dll" v0.0 ts=2015-09-10 19:13
   26k 2016/01/29 C:\cygwin64\bin\cygfontenc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfontenc-1.dll" v0.0 ts=2016-01-29 20:19
   55k 2016/02/14 C:\cygwin64\bin\cygformw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygformw-10.dll" v0.0 ts=2016-02-14 22:41
  545k 2015/01/15 C:\cygwin64\bin\cygfpx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfpx-1.dll" v0.0 ts=2015-01-15 12:50
  586k 2015/09/08 C:\cygwin64\bin\cygfreetype-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygfreetype-6.dll" v0.0 ts=2015-09-08 08:51
   91k 2013/06/05 C:\cygwin64\bin\cygfribidi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygfribidi-0.dll" v0.0 ts=2013-06-05 18:14
   70k 2016/02/17 C:\cygwin64\bin\cyggcc_s-seh-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcc_s-seh-1.dll" v0.0 ts=2016-02-17 17:13
  580k 2015/11/30 C:\cygwin64\bin\cyggcrypt-20.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcrypt-20.dll" v0.0 ts=2015-11-30 04:26
  364k 2015/02/09 C:\cygwin64\bin\cyggd-3.dll - os=4.0 img=0.0 sys=5.2
                  "cyggd-3.dll" v0.0 ts=2015-02-09 10:13
   40k 2014/05/27 C:\cygwin64\bin\cyggdbm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdbm-4.dll" v0.0 ts=1970-01-01 00:00
   13k 2014/05/27 C:\cygwin64\bin\cyggdbm_compat-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdbm_compat-4.dll" v0.0 ts=1970-01-01 00:00
  606k 2016/01/29 C:\cygwin64\bin\cyggdk-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk-3-0.dll" v0.0 ts=2016-01-29 21:51
  609k 2015/12/30 C:\cygwin64\bin\cyggdk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk-x11-2.0-0.dll" v0.0 ts=2015-12-30 00:37
  131k 2015/11/15 C:\cygwin64\bin\cyggdk_pixbuf-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk_pixbuf-2.0-0.dll" v0.0 ts=2015-11-15 02:51
 1146k 2016/02/17 C:\cygwin64\bin\cyggfortran-3.dll - os=4.0 img=0.0 sys=5.2
                  "cyggfortran-3.dll" v0.0 ts=2016-02-17 18:26
   32k 2013/07/22 C:\cygwin64\bin\cyggif-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggif-4.dll" v0.0 ts=2013-07-22 05:17
 1277k 2015/12/30 C:\cygwin64\bin\cyggio-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggio-2.0-0.dll" v0.0 ts=2015-12-30 02:45
  554k 2016/02/10 C:\cygwin64\bin\cygGL-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygGL-1.dll" v0.0 ts=2016-02-10 23:46
  283k 2016/02/10 C:\cygwin64\bin\cygglapi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygglapi-0.dll" v0.0 ts=2016-02-10 23:36
  956k 2015/12/30 C:\cygwin64\bin\cygglib-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygglib-2.0-0.dll" v0.0 ts=2015-12-30 02:43
   14k 2015/12/30 C:\cygwin64\bin\cyggmodule-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmodule-2.0-0.dll" v0.0 ts=2015-12-30 02:43
  528k 2015/12/06 C:\cygwin64\bin\cyggmp-10.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmp-10.dll" v0.0 ts=2015-12-06 08:37
   93k 2015/06/19 C:\cygwin64\bin\cyggnome-menu-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnome-menu-3-0.dll" v0.0 ts=2015-06-20 00:07
  931k 2015/08/28 C:\cygwin64\bin\cyggnutls-28.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-28.dll" v0.0 ts=2015-08-28 17:05
   24k 2015/08/28 C:\cygwin64\bin\cyggnutls-openssl-27.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-openssl-27.dll" v0.0 ts=2015-08-28 17:06
   41k 2015/08/28 C:\cygwin64\bin\cyggnutlsxx-28.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutlsxx-28.dll" v0.0 ts=2015-08-28 17:06
  281k 2015/12/30 C:\cygwin64\bin\cyggobject-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggobject-2.0-0.dll" v0.0 ts=2015-12-30 02:43
  105k 2016/02/17 C:\cygwin64\bin\cyggomp-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggomp-1.dll" v0.0 ts=2016-02-17 17:17
   10k 2016/02/17 C:\cygwin64\bin\cyggomp-plugin-host_nonshm-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggomp-plugin-host_nonshm-1.dll" v0.0 ts=2016-02-17 17:17
   65k 2015/11/30 C:\cygwin64\bin\cyggpg-error-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggpg-error-0.dll" v0.0 ts=2015-11-30 04:03
  116k 2013/08/05 C:\cygwin64\bin\cyggraphite2-3.dll - os=4.0 img=3.0 sys=5.2
                  "cyggraphite2-3.dll" v0.0 ts=2013-08-05 07:33
11338k 2015/02/12 C:\cygwin64\bin\cyggs-9.dll - os=4.0 img=0.0 sys=5.2
                  "cyggs-9.dll" v0.0 ts=2015-02-12 15:41
  258k 2016/01/28 C:\cygwin64\bin\cyggssapi_krb5-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyggssapi_krb5-2.dll" v0.0 ts=2016-01-28 03:00
    9k 2015/12/30 C:\cygwin64\bin\cyggthread-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggthread-2.0-0.dll" v0.0 ts=2015-12-30 02:43
 6692k 2016/01/29 C:\cygwin64\bin\cyggtk-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtk-3-0.dll" v0.0 ts=2016-01-29 22:00
 3935k 2015/12/30 C:\cygwin64\bin\cyggtk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtk-x11-2.0-0.dll" v0.0 ts=2015-12-30 00:43
   17k 2016/01/29 C:\cygwin64\bin\cyggtkreftestprivate-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtkreftestprivate-0.dll" v0.0 ts=2016-01-29 22:07
  691k 2013/04/22 C:\cygwin64\bin\cygguile-17.dll - os=4.0 img=0.0 sys=5.2
                  "cygguile-17.dll" v0.0 ts=2013-04-22 03:15
  373k 2015/11/23 C:\cygwin64\bin\cygharfbuzz-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygharfbuzz-0.dll" v0.0 ts=2015-11-23 20:49
   11k 2015/11/23 C:\cygwin64\bin\cygharfbuzz-icu-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygharfbuzz-icu-0.dll" v0.0 ts=2015-11-23 20:49
   30k 2015/01/27 C:\cygwin64\bin\cyghistory7.dll - os=4.0 img=0.0 sys=5.2
                  "cyghistory7.dll" v0.0 ts=2015-01-27 03:51
  168k 2015/02/12 C:\cygwin64\bin\cyghogweed-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyghogweed-2.dll" v0.0 ts=2015-02-12 17:27
   77k 2014/08/10 C:\cygwin64\bin\cygICE-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygICE-6.dll" v0.0 ts=1970-01-01 00:00
 1009k 2015/02/20 C:\cygwin64\bin\cygiconv-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiconv-2.dll" v0.0 ts=2015-02-20 17:07
24466k 2015/10/08 C:\cygwin64\bin\cygicudata56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata56.dll" v0.0 ts=2015-10-08 13:46
 2093k 2015/10/08 C:\cygwin64\bin\cygicui18n56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n56.dll" v0.0 ts=2015-10-08 13:42
   44k 2015/10/08 C:\cygwin64\bin\cygicuio56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio56.dll" v0.0 ts=2015-10-08 13:44
  306k 2015/10/08 C:\cygwin64\bin\cygicule56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule56.dll" v0.0 ts=2015-10-08 13:44
   38k 2015/10/08 C:\cygwin64\bin\cygiculx56.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx56.dll" v0.0 ts=2015-10-08 13:44
 1348k 2015/10/08 C:\cygwin64\bin\cygicuuc56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc56.dll" v0.0 ts=2015-10-08 13:41
  196k 2014/12/06 C:\cygwin64\bin\cygidn-11.dll - os=4.0 img=0.0 sys=5.2
                  "cygidn-11.dll" v0.0 ts=2014-12-06 13:17
   40k 2015/09/20 C:\cygwin64\bin\cygintl-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygintl-8.dll" v0.0 ts=2015-09-20 19:10
  294k 2013/09/09 C:\cygwin64\bin\cygiodbc-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiodbc-2.dll" v0.0 ts=2013-09-09 16:36
   66k 2013/09/09 C:\cygwin64\bin\cygiodbcinst-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiodbcinst-2.dll" v0.0 ts=2013-09-09 16:35
  888k 2013/05/05 C:\cygwin64\bin\cygisl-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygisl-10.dll" v0.0 ts=2013-05-05 16:36
 1039k 2015/09/20 C:\cygwin64\bin\cygisl-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygisl-13.dll" v0.0 ts=2015-09-20 12:39
  272k 2015/01/23 C:\cygwin64\bin\cygjasper-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygjasper-1.dll" v0.0 ts=2015-01-23 18:01
   48k 2014/06/17 C:\cygwin64\bin\cygjbig-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjbig-2.dll" v0.0 ts=1970-01-01 00:00
   18k 2014/06/17 C:\cygwin64\bin\cygjbig85-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjbig85-2.dll" v0.0 ts=1970-01-01 00:00
  333k 2015/10/08 C:\cygwin64\bin\cygjpeg-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygjpeg-8.dll" v0.0 ts=2015-10-08 08:35
  129k 2015/11/15 C:\cygwin64\bin\cygjson-glib-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygjson-glib-1.0-0.dll" v0.0 ts=2015-11-15 02:35
  180k 2016/01/28 C:\cygwin64\bin\cygk5crypto-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygk5crypto-3.dll" v0.0 ts=2016-01-28 02:59
   85k 2015/10/08 C:\cygwin64\bin\cygkpathsea-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygkpathsea-6.dll" v0.0 ts=2015-10-08 20:10
  720k 2016/01/28 C:\cygwin64\bin\cygkrb5-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5-3.dll" v0.0 ts=2016-01-28 02:59
   35k 2016/01/28 C:\cygwin64\bin\cygkrb5support-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5support-0.dll" v0.0 ts=2016-01-28 02:59
   45k 2015/11/19 C:\cygwin64\bin\cyglber-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglber-2-4-2.dll" v0.0 ts=2015-11-19 14:17
  293k 2014/11/14 C:\cygwin64\bin\cyglcms2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglcms2-2.dll" v0.0 ts=2014-11-14 12:24
  246k 2015/11/19 C:\cygwin64\bin\cygldap-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygldap-2-4-2.dll" v0.0 ts=2015-11-19 14:18
  262k 2015/11/19 C:\cygwin64\bin\cygldap_r-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygldap_r-2-4-2.dll" v0.0 ts=2015-11-19 14:19
   95k 2015/09/01 C:\cygwin64\bin\cyglightcomp.dll - os=4.0 img=0.0 sys=5.2
                  "cyglightcomp.dll" v0.0 ts=2015-09-01 17:38
18545k 2015/05/26 C:\cygwin64\bin\cygLLVM-3.5.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.5.dll" v0.0 ts=2015-05-26 17:53
  337k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64AsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64AsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  379k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64AsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64AsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
 1136k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64CodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64CodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  418k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Desc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Desc-3.7.dll" v0.0 ts=2016-02-10 21:53
  123k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Disassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Disassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Info-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Info-3.7.dll" v0.0 ts=2016-02-10 21:52
   48k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Utils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Utils-3.7.dll" v0.0 ts=2016-02-10 21:52
  259k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  147k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
 1054k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  804k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUUtils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUUtils-3.7.dll" v0.0 ts=2016-02-10 21:52
 1659k 2016/02/11 C:\cygwin64\bin\cygLLVMAnalysis-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAnalysis-3.7.dll" v0.0 ts=2016-02-10 21:53
  438k 2016/02/11 C:\cygwin64\bin\cygLLVMARMAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  106k 2016/02/11 C:\cygwin64\bin\cygLLVMARMAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
 1400k 2016/02/11 C:\cygwin64\bin\cygLLVMARMCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  668k 2016/02/11 C:\cygwin64\bin\cygLLVMARMDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
  205k 2016/02/11 C:\cygwin64\bin\cygLLVMARMDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMARMInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  250k 2016/02/11 C:\cygwin64\bin\cygLLVMAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  443k 2016/02/11 C:\cygwin64\bin\cygLLVMAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:55
  190k 2016/02/11 C:\cygwin64\bin\cygLLVMBitReader-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBitReader-3.7.dll" v0.0 ts=2016-02-10 21:53
  146k 2016/02/11 C:\cygwin64\bin\cygLLVMBitWriter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBitWriter-3.7.dll" v0.0 ts=2016-02-10 21:53
   14k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  122k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
   29k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
 2671k 2016/02/11 C:\cygwin64\bin\cygLLVMCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:54
 2019k 2016/02/11 C:\cygwin64\bin\cygLLVMCore-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCore-3.7.dll" v0.0 ts=2016-02-10 21:52
  102k 2016/02/11 C:\cygwin64\bin\cygLLVMCppBackendCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCppBackendCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMCppBackendInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCppBackendInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  175k 2016/02/11 C:\cygwin64\bin\cygLLVMDebugInfoDWARF-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMDebugInfoDWARF-3.7.dll" v0.0 ts=2016-02-10 21:53
   95k 2016/02/11 C:\cygwin64\bin\cygLLVMDebugInfoPDB-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMDebugInfoPDB-3.7.dll" v0.0 ts=2016-02-10 21:53
  113k 2016/02/11 C:\cygwin64\bin\cygLLVMExecutionEngine-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMExecutionEngine-3.7.dll" v0.0 ts=2016-02-10 21:53
  765k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  379k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   67k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  750k 2016/02/11 C:\cygwin64\bin\cygLLVMInstCombine-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMInstCombine-3.7.dll" v0.0 ts=2016-02-10 21:53
  388k 2016/02/11 C:\cygwin64\bin\cygLLVMInstrumentation-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMInstrumentation-3.7.dll" v0.0 ts=2016-02-10 21:53
  136k 2016/02/11 C:\cygwin64\bin\cygLLVMInterpreter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMInterpreter-3.7.dll" v0.0 ts=2016-02-10 21:55
  141k 2016/02/11 C:\cygwin64\bin\cygLLVMipa-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMipa-3.7.dll" v0.0 ts=2016-02-10 21:53
  405k 2016/02/11 C:\cygwin64\bin\cygLLVMipo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMipo-3.7.dll" v0.0 ts=2016-02-10 21:54
   18k 2016/02/11 C:\cygwin64\bin\cygLLVMIRReader-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMIRReader-3.7.dll" v0.0 ts=2016-02-10 21:53
   19k 2016/02/11 C:\cygwin64\bin\cygLLVMLibDriver-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLibDriver-3.7.dll" v0.0 ts=2016-02-10 21:53
   16k 2016/02/11 C:\cygwin64\bin\cygLLVMLineEditor-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLineEditor-3.7.dll" v0.0 ts=2016-02-10 21:52
   82k 2016/02/11 C:\cygwin64\bin\cygLLVMLinker-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLinker-3.7.dll" v0.0 ts=2016-02-10 21:53
   62k 2016/02/11 C:\cygwin64\bin\cygLLVMLTO-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLTO-3.7.dll" v0.0 ts=2016-02-10 21:55
  447k 2016/02/11 C:\cygwin64\bin\cygLLVMMC-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMC-3.7.dll" v0.0 ts=2016-02-10 21:52
   23k 2016/02/11 C:\cygwin64\bin\cygLLVMMCDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMCDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:52
   39k 2016/02/11 C:\cygwin64\bin\cygLLVMMCJIT-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMCJIT-3.7.dll" v0.0 ts=2016-02-10 21:53
  171k 2016/02/11 C:\cygwin64\bin\cygLLVMMCParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMCParser-3.7.dll" v0.0 ts=2016-02-10 21:52
  157k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   49k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  909k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  334k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   74k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
   85k 2016/02/11 C:\cygwin64\bin\cygLLVMMIRParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMIRParser-3.7.dll" v0.0 ts=2016-02-10 21:55
   15k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430AsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430AsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  178k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430CodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430CodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
   31k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430Desc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430Desc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430Info-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430Info-3.7.dll" v0.0 ts=2016-02-10 21:52
   92k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  596k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  241k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  139k 2016/02/11 C:\cygwin64\bin\cygLLVMObjCARCOpts-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMObjCARCOpts-3.7.dll" v0.0 ts=2016-02-10 21:53
  412k 2016/02/11 C:\cygwin64\bin\cygLLVMObject-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMObject-3.7.dll" v0.0 ts=2016-02-10 21:53
   46k 2016/02/11 C:\cygwin64\bin\cygLLVMOption-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMOption-3.7.dll" v0.0 ts=2016-02-10 21:52
  106k 2016/02/11 C:\cygwin64\bin\cygLLVMOrcJIT-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMOrcJIT-3.7.dll" v0.0 ts=2016-02-10 21:53
  137k 2016/02/11 C:\cygwin64\bin\cygLLVMPasses-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPasses-3.7.dll" v0.0 ts=2016-02-10 21:54
  105k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   95k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
 1057k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  283k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   60k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
  153k 2016/02/11 C:\cygwin64\bin\cygLLVMProfileData-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMProfileData-3.7.dll" v0.0 ts=2016-02-10 21:53
  267k 2016/02/11 C:\cygwin64\bin\cygLLVMRuntimeDyld-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMRuntimeDyld-3.7.dll" v0.0 ts=2016-02-10 21:53
 1418k 2016/02/11 C:\cygwin64\bin\cygLLVMScalarOpts-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMScalarOpts-3.7.dll" v0.0 ts=2016-02-10 21:54
 2272k 2016/02/11 C:\cygwin64\bin\cygLLVMSelectionDAG-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSelectionDAG-3.7.dll" v0.0 ts=2016-02-10 21:55
   62k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   62k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
  273k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  103k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   25k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
  725k 2016/02/11 C:\cygwin64\bin\cygLLVMSupport-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSupport-3.7.dll" v0.0 ts=2016-02-10 21:52
   66k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   32k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
  533k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  170k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   51k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
  233k 2016/02/11 C:\cygwin64\bin\cygLLVMTableGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMTableGen-3.7.dll" v0.0 ts=2016-02-10 21:52
   49k 2016/02/11 C:\cygwin64\bin\cygLLVMTarget-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMTarget-3.7.dll" v0.0 ts=2016-02-10 21:53
  794k 2016/02/11 C:\cygwin64\bin\cygLLVMTransformUtils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMTransformUtils-3.7.dll" v0.0 ts=2016-02-10 21:53
  332k 2016/02/11 C:\cygwin64\bin\cygLLVMVectorize-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMVectorize-3.7.dll" v0.0 ts=2016-02-10 21:53
  584k 2016/02/11 C:\cygwin64\bin\cygLLVMX86AsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86AsmParser-3.7.dll" v0.0 ts=2016-02-10 21:56
  271k 2016/02/11 C:\cygwin64\bin\cygLLVMX86AsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86AsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
 2050k 2016/02/11 C:\cygwin64\bin\cygLLVMX86CodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86CodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
 1377k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Desc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Desc-3.7.dll" v0.0 ts=2016-02-10 21:53
 1408k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Disassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Disassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Info-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Info-3.7.dll" v0.0 ts=2016-02-10 21:53
   33k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Utils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Utils-3.7.dll" v0.0 ts=2016-02-10 21:53
   17k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
  322k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:56
   40k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   22k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
    6k 2016/02/18 C:\cygwin64\bin\cyglsa64.dll - os=4.0 img=0.0 sys=5.2
                  "cyglsa64.dll" v0.0 ts=2016-02-18 13:15
   35k 2016/02/11 C:\cygwin64\bin\cygltdl-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygltdl-7.dll" v0.0 ts=2016-02-11 23:41
  146k 2015/08/23 C:\cygwin64\bin\cyglua-5.1.dll - os=4.0 img=0.0 sys=5.2
                  "cyglua-5.1.dll" v0.0 ts=2015-08-23 17:43
  139k 2015/11/09 C:\cygwin64\bin\cyglzma-5.dll - os=4.0 img=0.0 sys=5.2
                  "cyglzma-5.dll" v0.0 ts=2015-11-09 06:12
  126k 2014/11/17 C:\cygwin64\bin\cyglzo2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglzo2-2.dll" v0.0 ts=2014-11-17 11:24
  147k 2015/02/13 C:\cygwin64\bin\cygm17n-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-0.dll" v0.0 ts=2015-02-13 03:39
  108k 2015/02/13 C:\cygwin64\bin\cygm17n-core-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-core-0.dll" v0.0 ts=2015-02-13 03:39
   39k 2015/02/13 C:\cygwin64\bin\cygm17n-flt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-flt-0.dll" v0.0 ts=2015-02-13 03:39
  136k 2015/02/13 C:\cygwin64\bin\cygm17n-gui-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-gui-0.dll" v0.0 ts=2015-02-13 03:39
  114k 2016/01/11 C:\cygwin64\bin\cygmagic-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmagic-1.dll" v0.0 ts=2016-01-11 22:19
  346k 2015/02/11 C:\cygwin64\bin\cygMagick++-6.Q16-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagick++-6.Q16-5.dll" v0.0 ts=2015-02-10 23:24
 4443k 2015/07/01 C:\cygwin64\bin\cygMagickCore-6.Q16-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickCore-6.Q16-2.dll" v0.0 ts=2015-07-01 23:02
 1143k 2015/07/01 C:\cygwin64\bin\cygMagickWand-6.Q16-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickWand-6.Q16-2.dll" v0.0 ts=2015-07-01 23:03
  168k 2015/10/09 C:\cygwin64\bin\cygman-2-7-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygman-2-7-4.dll" v0.0 ts=2015-10-09 03:12
   22k 2015/10/09 C:\cygwin64\bin\cygmandb-2-7-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygmandb-2-7-4.dll" v0.0 ts=2015-10-09 03:18
  132k 2014/01/21 C:\cygwin64\bin\cygmcpp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygmcpp-0.dll" v0.0 ts=2014-01-21 18:41
   29k 2016/02/14 C:\cygwin64\bin\cygmenuw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygmenuw-10.dll" v0.0 ts=2016-02-14 22:41
   42k 2013/08/12 C:\cygwin64\bin\cygmetalink-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygmetalink-3.dll" v0.0 ts=2013-08-13 00:02
  327k 2015/08/11 C:\cygwin64\bin\cygming-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygming-1.dll" v0.0 ts=2015-08-11 10:00
   89k 2015/03/01 C:\cygwin64\bin\cygmpc-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpc-3.dll" v0.0 ts=2015-03-01 20:24
  341k 2015/06/30 C:\cygwin64\bin\cygmpfr-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpfr-4.dll" v0.0 ts=2015-06-30 18:39
 2843k 2015/08/23 C:\cygwin64\bin\cygmysqlclient-18.dll - os=4.0 img=18.0 sys=5.2
                  "cygmysqlclient-18.dll" v0.0 ts=2015-08-23 21:29
  493k 2016/02/18 C:\cygwin64\bin\cygnativeGLthunk.dll - os=4.0 img=0.0 sys=5.2
                  "cygnativeGLthunk.dll" v0.0 ts=2016-02-18 15:16
   53k 2016/02/14 C:\cygwin64\bin\cygncurses++w-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygncurses++w-10.dll" v0.0 ts=2016-02-14 22:46
  278k 2016/02/14 C:\cygwin64\bin\cygncursesw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygncursesw-10.dll" v0.0 ts=2016-02-14 22:39
  131k 2014/11/14 C:\cygwin64\bin\cygneon-27.dll - os=4.0 img=0.0 sys=5.2
                  "cygneon-27.dll" v0.0 ts=2014-11-14 13:02
  185k 2015/02/12 C:\cygwin64\bin\cygnettle-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygnettle-4.dll" v0.0 ts=2015-02-12 17:27
  123k 2016/01/29 C:\cygwin64\bin\cygnghttp2-14.dll - os=4.0 img=0.0 sys=5.2
                  "cygnghttp2-14.dll" v0.0 ts=2016-01-29 02:10
  126k 2015/01/12 C:\cygwin64\bin\cygopenjpeg-1.dll - os=4.0 img=1.5 sys=5.2
                  "cygopenjpeg-1.dll" v0.0 ts=2015-01-12 02:51
   78k 2013/10/31 C:\cygwin64\bin\cygotf-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygotf-0.dll" v0.0 ts=2013-10-31 02:30
  319k 2015/06/01 C:\cygwin64\bin\cygp11-kit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygp11-kit-0.dll" v0.0 ts=2015-06-01 19:02
   14k 2016/02/14 C:\cygwin64\bin\cygpanelw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygpanelw-10.dll" v0.0 ts=2016-02-14 22:40
  258k 2015/11/15 C:\cygwin64\bin\cygpango-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpango-1.0-0.dll" v0.0 ts=2015-11-15 02:56
   43k 2015/11/15 C:\cygwin64\bin\cygpangocairo-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangocairo-1.0-0.dll" v0.0 ts=2015-11-15 02:56
   71k 2015/11/15 C:\cygwin64\bin\cygpangoft2-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangoft2-1.0-0.dll" v0.0 ts=2015-11-15 02:56
   28k 2015/11/15 C:\cygwin64\bin\cygpangoxft-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangoxft-1.0-0.dll" v0.0 ts=2015-11-15 02:56
   11k 2013/07/23 C:\cygwin64\bin\cygpaper-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpaper-1.dll" v0.0 ts=2013-07-23 05:40
  461k 2016/01/28 C:\cygwin64\bin\cygpcre-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre-1.dll" v0.0 ts=2016-01-28 05:59
 2039k 2015/12/17 C:\cygwin64\bin\cygperl5_22.dll - os=4.0 img=0.0 sys=5.2
                  "cygperl5_22.dll" v0.0 ts=2015-12-17 09:31
   39k 2015/04/09 C:\cygwin64\bin\cygpipeline-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpipeline-1.dll" v0.0 ts=2015-04-09 21:04
  657k 2015/10/26 C:\cygwin64\bin\cygpixman-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpixman-1-0.dll" v0.0 ts=2015-10-27 00:52
 1002k 2015/02/11 C:\cygwin64\bin\cygplotter-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygplotter-2.dll" v0.0 ts=2015-02-11 21:22
  175k 2015/12/04 C:\cygwin64\bin\cygpng16-16.dll - os=4.0 img=0.0 sys=5.2
                  "cygpng16-16.dll" v0.0 ts=2015-12-04 04:46
 2019k 2015/10/27 C:\cygwin64\bin\cygpoppler-55.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-55.dll" v0.0 ts=2015-10-27 20:21
   41k 2013/10/21 C:\cygwin64\bin\cygpopt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpopt-0.dll" v0.0 ts=2013-10-21 21:52
  152k 2016/02/18 C:\cygwin64\bin\cygpq-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygpq-5.dll" v0.0 ts=2016-02-18 11:16
  113k 2015/06/29 C:\cygwin64\bin\cygproxy-1.dll - os=4.0 img=1.0 sys=5.2
                  "cygproxy-1.dll" v0.0 ts=2015-06-29 22:39
   48k 2016/02/08 C:\cygwin64\bin\cygpsl-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygpsl-5.dll" v0.0 ts=2016-02-08 22:21
  355k 2015/02/12 C:\cygwin64\bin\cygpstoedit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpstoedit-0.dll" v0.0 ts=2015-02-12 17:10
   38k 2015/10/08 C:\cygwin64\bin\cygptexenc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygptexenc-1.dll" v0.0 ts=2015-10-08 20:11
  308k 2016/02/17 C:\cygwin64\bin\cygquadmath-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygquadmath-0.dll" v0.0 ts=2016-02-17 18:05
  207k 2015/01/27 C:\cygwin64\bin\cygreadline7.dll - os=4.0 img=0.0 sys=5.2
                  "cygreadline7.dll" v0.0 ts=2015-01-27 03:51
   71k 2015/03/26 C:\cygwin64\bin\cygrest-0.7-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygrest-0.7-0.dll" v0.0 ts=2015-03-26 20:33
   28k 2015/03/26 C:\cygwin64\bin\cygrest-extras-0.7-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygrest-extras-0.7-0.dll" v0.0 ts=2015-03-26 20:33
  201k 2015/11/15 C:\cygwin64\bin\cygrsvg-2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygrsvg-2-2.dll" v0.0 ts=2015-11-15 03:08
 2237k 2016/01/28 C:\cygwin64\bin\cygruby220.dll - os=4.0 img=0.0 sys=5.2
                  "cygruby220.dll" v2.2 ts=2016-01-28 04:36
   97k 2014/11/24 C:\cygwin64\bin\cygsasl2-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygsasl2-3.dll" v0.0 ts=2014-11-24 18:22
   84k 2014/12/20 C:\cygwin64\bin\cygserf-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygserf-1-0.dll" v0.0 ts=2014-12-20 16:56
   11k 2015/07/17 C:\cygwin64\bin\cygsigsegv-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygsigsegv-2.dll" v0.0 ts=2015-07-17 22:35
   28k 2014/01/14 C:\cygwin64\bin\cygSM-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygSM-6.dll" v0.0 ts=2014-01-14 23:23
   89k 2015/03/23 C:\cygwin64\bin\cygsmartcols-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsmartcols-1.dll" v0.0 ts=2015-03-23 09:46
  630k 2015/11/15 C:\cygwin64\bin\cygsoup-2.4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoup-2.4-1.dll" v0.0 ts=2015-11-15 03:05
   11k 2015/11/15 C:\cygwin64\bin\cygsoup-gnome-2.4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoup-gnome-2.4-1.dll" v0.0 ts=2015-11-15 03:05
  901k 2016/02/22 C:\cygwin64\bin\cygsqlite3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsqlite3-0.dll" v0.0 ts=2016-02-19 15:14
  143k 2015/03/17 C:\cygwin64\bin\cygssh2-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygssh2-1.dll" v0.0 ts=2015-03-17 04:00
  424k 2016/01/28 C:\cygwin64\bin\cygssl-1.0.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygssl-1.0.0.dll" v0.0 ts=2016-01-28 18:55
   12k 2016/02/17 C:\cygwin64\bin\cygssp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygssp-0.dll" v0.0 ts=2016-02-17 18:00
 1339k 2016/02/17 C:\cygwin64\bin\cygstdc++-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygstdc++-6.dll" v0.0 ts=2016-02-17 17:32
  385k 2015/12/15 C:\cygwin64\bin\cygsvn_client-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_client-1-0.dll" v0.0 ts=2015-12-15 17:43
   61k 2015/12/15 C:\cygwin64\bin\cygsvn_delta-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_delta-1-0.dll" v0.0 ts=2015-12-15 17:42
   75k 2015/12/15 C:\cygwin64\bin\cygsvn_diff-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_diff-1-0.dll" v0.0 ts=2015-12-15 17:42
   37k 2015/12/15 C:\cygwin64\bin\cygsvn_fs-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_fs-1-0.dll" v0.0 ts=2015-12-15 17:42
  154k 2015/12/15 C:\cygwin64\bin\cygsvn_fs_base-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_fs_base-1-0.dll" v0.0 ts=2015-12-15 17:42
  260k 2015/12/15 C:\cygwin64\bin\cygsvn_fs_fs-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_fs_fs-1-0.dll" v0.0 ts=2015-12-15 17:42
   12k 2015/12/15 C:\cygwin64\bin\cygsvn_fs_util-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_fs_util-1-0.dll" v0.0 ts=2015-12-15 17:42
  271k 2015/12/15 C:\cygwin64\bin\cygsvn_fs_x-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_fs_x-1-0.dll" v0.0 ts=2015-12-15 17:42
   44k 2015/12/15 C:\cygwin64\bin\cygsvn_ra-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_ra-1-0.dll" v0.0 ts=2015-12-15 17:43
   31k 2015/12/15 C:\cygwin64\bin\cygsvn_ra_local-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_ra_local-1-0.dll" v0.0 ts=2015-12-15 17:43
  168k 2015/12/15 C:\cygwin64\bin\cygsvn_ra_serf-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_ra_serf-1-0.dll" v0.0 ts=2015-12-15 17:42
  100k 2015/12/15 C:\cygwin64\bin\cygsvn_ra_svn-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_ra_svn-1-0.dll" v0.0 ts=2015-12-15 17:42
  190k 2015/12/15 C:\cygwin64\bin\cygsvn_repos-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_repos-1-0.dll" v0.0 ts=2015-12-15 17:42
  941k 2015/12/15 C:\cygwin64\bin\cygsvn_subr-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_subr-1-0.dll" v0.0 ts=2015-12-15 17:42
   39k 2015/12/15 C:\cygwin64\bin\cygsvn_swig_perl-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_swig_perl-1-0.dll" v0.0 ts=2015-12-15 17:48
  647k 2015/12/15 C:\cygwin64\bin\cygsvn_wc-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsvn_wc-1-0.dll" v0.0 ts=2015-12-15 17:42
   60k 2015/10/08 C:\cygwin64\bin\cygsynctex-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsynctex-1.dll" v0.0 ts=2015-10-08 20:21
   66k 2016/02/04 C:\cygwin64\bin\cygtasn1-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtasn1-6.dll" v0.0 ts=2016-02-04 13:53
  163k 2015/10/08 C:\cygwin64\bin\cygtexlua52-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygtexlua52-5.dll" v0.0 ts=2015-10-08 20:11
  405k 2015/10/08 C:\cygwin64\bin\cygtexluajit-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygtexluajit-2.dll" v0.0 ts=2015-10-08 20:12
   32k 2014/11/12 C:\cygwin64\bin\cygthai-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygthai-0.dll" v0.0 ts=2014-11-12 16:31
   53k 2016/02/14 C:\cygwin64\bin\cygticw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygticw-10.dll" v0.0 ts=2016-02-14 22:39
  420k 2015/10/28 C:\cygwin64\bin\cygtiff-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiff-6.dll" v0.0 ts=2015-10-28 21:37
   11k 2015/10/28 C:\cygwin64\bin\cygtiffxx-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiffxx-6.dll" v0.0 ts=2015-10-28 21:37
 1538k 2015/10/16 C:\cygwin64\bin\cygunistring-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygunistring-2.dll" v0.0 ts=2015-10-16 21:40
   15k 2015/03/23 C:\cygwin64\bin\cyguuid-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyguuid-1.dll" v0.0 ts=2015-03-23 09:46
 2191k 2015/10/01 C:\cygwin64\bin\cygvpx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygvpx-1.dll" v0.0 ts=2015-10-01 21:34
    8k 2016/02/17 C:\cygwin64\bin\cygvtv-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvtv-0.dll" v0.0 ts=2016-02-17 18:00
    8k 2016/02/17 C:\cygwin64\bin\cygvtv_stubs-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvtv_stubs-0.dll" v0.0 ts=2016-02-17 18:00
  343k 2015/03/26 C:\cygwin64\bin\cygwebp-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebp-5.dll" v0.0 ts=2015-03-26 16:04
   27k 2015/03/26 C:\cygwin64\bin\cygwebpmux-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebpmux-1.dll" v0.0 ts=2015-03-26 16:04
   30k 2013/11/15 C:\cygwin64\bin\cygwrap-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygwrap-0.dll" v0.0 ts=2013-11-15 19:58
 1134k 2015/03/26 C:\cygwin64\bin\cygX11-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygX11-6.dll" v0.0 ts=2015-03-26 15:14
    9k 2015/03/26 C:\cygwin64\bin\cygX11-xcb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygX11-xcb-1.dll" v0.0 ts=2015-03-26 15:14
   12k 2013/06/06 C:\cygwin64\bin\cygXau-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXau-6.dll" v0.0 ts=2013-06-06 06:23
  375k 2016/01/29 C:\cygwin64\bin\cygXaw-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygXaw-7.dll" v0.0 ts=2016-01-29 20:32
  102k 2015/09/07 C:\cygwin64\bin\cygxcb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-1.dll" v0.0 ts=2015-09-07 05:50
   12k 2015/09/07 C:\cygwin64\bin\cygxcb-composite-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-composite-0.dll" v0.0 ts=2015-09-07 05:50
   40k 2014/08/10 C:\cygwin64\bin\cygxcb-ewmh-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-ewmh-2.dll" v0.0 ts=1970-01-01 00:00
   70k 2015/09/07 C:\cygwin64\bin\cygxcb-glx-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-glx-0.dll" v0.0 ts=2015-09-07 05:50
   17k 2014/08/10 C:\cygwin64\bin\cygxcb-icccm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-icccm-4.dll" v0.0 ts=1970-01-01 00:00
   16k 2013/03/17 C:\cygwin64\bin\cygxcb-image-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-image-0.dll" v0.0 ts=2013-03-17 22:42
   31k 2015/09/07 C:\cygwin64\bin\cygxcb-render-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-render-0.dll" v0.0 ts=2015-09-07 05:50
   12k 2015/09/07 C:\cygwin64\bin\cygxcb-shm-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-shm-0.dll" v0.0 ts=2015-09-07 05:50
   17k 2013/03/17 C:\cygwin64\bin\cygxcb-util-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-util-1.dll" v0.0 ts=2013-03-17 22:33
   11k 2013/03/18 C:\cygwin64\bin\cygXcomposite-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXcomposite-1.dll" v0.0 ts=2013-03-18 23:05
   34k 2013/06/06 C:\cygwin64\bin\cygXcursor-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXcursor-1.dll" v0.0 ts=2013-06-06 21:15
   10k 2013/04/08 C:\cygwin64\bin\cygXdamage-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXdamage-1.dll" v0.0 ts=2013-04-08 22:24
   21k 2015/03/26 C:\cygwin64\bin\cygXdmcp-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXdmcp-6.dll" v0.0 ts=2015-03-26 15:38
   56k 2014/08/10 C:\cygwin64\bin\cygXext-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXext-6.dll" v0.0 ts=1970-01-01 00:00
   19k 2013/06/06 C:\cygwin64\bin\cygXfixes-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfixes-3.dll" v0.0 ts=2013-06-06 20:27
  209k 2015/03/17 C:\cygwin64\bin\cygXfont-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfont-1.dll" v0.0 ts=2015-03-17 16:53
   73k 2014/08/10 C:\cygwin64\bin\cygXft-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXft-2.dll" v0.0 ts=1970-01-01 00:00
   57k 2016/01/29 C:\cygwin64\bin\cygXi-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXi-6.dll" v0.0 ts=2016-01-29 20:23
   10k 2013/06/06 C:\cygwin64\bin\cygXinerama-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXinerama-1.dll" v0.0 ts=2013-06-06 21:33
  128k 2016/01/29 C:\cygwin64\bin\cygxkbfile-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbfile-1.dll" v0.0 ts=2016-01-29 20:31
 1206k 2015/11/27 C:\cygwin64\bin\cygxml2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygxml2-2.dll" v0.0 ts=2015-11-27 20:22
   85k 2014/01/15 C:\cygwin64\bin\cygXmu-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmu-6.dll" v0.0 ts=2014-01-15 02:27
   14k 2014/01/15 C:\cygwin64\bin\cygXmuu-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmuu-1.dll" v0.0 ts=2014-01-15 02:27
   59k 2014/01/15 C:\cygwin64\bin\cygXpm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygXpm-4.dll" v0.0 ts=2014-01-15 00:59
   54k 2013/07/01 C:\cygwin64\bin\cygXpm-noX-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygXpm-noX-4.dll" v0.0 ts=2013-07-01 12:24
   36k 2016/01/29 C:\cygwin64\bin\cygXrandr-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXrandr-2.dll" v0.0 ts=2016-01-29 20:27
   36k 2016/01/29 C:\cygwin64\bin\cygXrender-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXrender-1.dll" v0.0 ts=2016-01-29 20:24
  208k 2015/03/18 C:\cygwin64\bin\cygxslt-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxslt-1.dll" v0.0 ts=2015-03-19 00:39
   12k 2013/03/14 C:\cygwin64\bin\cygXss-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXss-1.dll" v0.0 ts=2013-03-14 04:07
  326k 2016/01/29 C:\cygwin64\bin\cygXt-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXt-6.dll" v0.0 ts=2016-01-29 20:26
   21k 2013/06/06 C:\cygwin64\bin\cygXtst-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXtst-6.dll" v0.0 ts=2013-06-06 20:36
  121k 2014/12/08 C:\cygwin64\bin\cygyaml-0-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygyaml-0-2.dll" v0.0 ts=2014-12-08 18:19
   80k 2014/11/19 C:\cygwin64\bin\cygz.dll - os=4.0 img=0.0 sys=5.2
                  "cygz.dll" v0.0 ts=2014-11-19 23:08
   61k 2015/03/26 C:\cygwin64\bin\cygzip-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygzip-2.dll" v0.0 ts=2015-03-26 20:04
   24k 2013/05/30 C:\cygwin64\bin\cygzzip-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzip-0-13.dll" v0.0 ts=2013-05-30 03:34
   12k 2013/05/30 C:\cygwin64\bin\cygzzipfseeko-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzipfseeko-0-13.dll" v0.0 ts=2013-05-30 03:34
   15k 2013/05/30 C:\cygwin64\bin\cygzzipmmapped-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzipmmapped-0-13.dll" v0.0 ts=2013-05-30 03:34
    9k 2013/05/30 C:\cygwin64\bin\cygzzipwrap-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzipwrap-0-13.dll" v0.0 ts=2013-05-30 03:34
 3362k 2016/02/18 C:\cygwin64\bin\cygwin1.dll - os=4.0 img=0.0 sys=5.2
                  "cygwin1.dll" v0.0 ts=2016-02-18 13:15
    Cygwin DLL version info:
        DLL version: 2.5.0
        DLL epoch: 19
        DLL old termios: 5
        DLL malloc env: 28
        Cygwin conv: 181
        API major: 0
        API minor: 294
        Shared data: 5
        DLL identifier: cygwin1
        Mount registry: 3
        Cygwin registry name: Cygwin
        Installations name: Installations
        Cygdrive default prefix: 
        Build date: 
        Shared id: cygwin1S5

  449k 2015/11/01 C:\cygwin64\lib\lapack\cygblas-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygblas-0.dll" v0.0 ts=2015-11-01 05:53
 5885k 2015/11/01 C:\cygwin64\lib\lapack\cyglapack-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyglapack-0.dll" v0.0 ts=2015-11-01 05:53

Service             : cron                
Display name        : Cron daemon
Current State       : Running
Controls Accepted   : Stop
Command             : /usr/sbin/cron -n
stdin path          : /dev/null
stdout path         : /var/log/cron.log
stderr path         : /var/log/cron.log
Environment         : CYGWIN=" " TMP="/tmp" TEMP="/tmp" 
Process Type        : Own Process
Startup             : Automatic
Account             : .\cyg_server

Service             : cygserver           
Display name        : CYGWIN cygserver
Current State       : Stopped
Command             : /usr/sbin/cygserver
stdin path          : /dev/null
stdout path         : /var/log/cygserver.log
stderr path         : /var/log/cygserver.log
Process Type        : Own Process
Startup             : Manual
Account             : LocalSystem

Service             : httpd               
Display name        : CYGWIN httpd
Current State       : Stopped
Command             : /usr/sbin/lighttpd -f /etc/lighttpd/lighttpd.conf 
stdin path          : /dev/null
stdout path         : /var/log/httpd.log
stderr path         : /var/log/httpd.log
Process Type        : Own Process
Startup             : Automatic
Account             : LocalSystem

Service             : sshd                
Display name        : CYGWIN sshd
Current State       : Running
Controls Accepted   : Stop
Command             : /usr/sbin/sshd -D
stdin path          : /dev/null
stdout path         : /var/log/sshd.log
stderr path         : /var/log/sshd.log
Process Type        : Own Process
Startup             : Automatic
Dependencies        : tcpip
Account             : .\cyg_server

Service             : syslogd             
Display name        : CYGWIN syslogd
Current State       : Running
Controls Accepted   : Stop
Command             : /usr/sbin/syslogd -D
stdin path          : /dev/null
stdout path         : /var/log/syslogd.log
stderr path         : /var/log/syslogd.log
Process Type        : Own Process
Startup             : Automatic
Account             : LocalSystem


Cygwin Package Information
Last downloaded files to: C:\Users\msteiner\Downloads
Last downloaded files from: http://cygwin.mirror.constant.com/

Package                                   Version            Status
_autorebase                               001003-1           OK
Empty package _update-info-dir
_update-info-dir                          03000-1            OK
adwaita-icon-theme                        3.18.0-1           OK
adwaita-themes                            3.18.0-1           OK
alternatives                              1.3.30c-10         OK
at-spi2-core                              2.18.3-1           OK
base-cygwin                               3.8-1              OK
base-files                                4.2-4              OK
bash                                      4.3.42-4           OK
bash-completion                           2.1-2              OK
biber                                     2.3-1              OK
binutils                                  2.25-4             OK
bzip2                                     1.0.6-2            OK
ca-certificates                           2.6-1              OK
cmake                                     3.3.2-1            OK
connect-proxy                             1.104-1            OK
coreutils                                 8.24-3             OK
cron                                      4.1-65             OK
crypt                                     1.1-1              OK
csih                                      0.9.9-1            OK
ctags                                     5.8-1              OK
curl                                      7.47.1-1           OK
cygrunsrv                                 1.62-1             OK
cygutils                                  1.4.15-2           OK
cygwin                                    2.5.0-0.4          OK
cygwin-debuginfo                          2.5.0-0.4          OK
cygwin-devel                              2.5.0-0.4          OK
dash                                      0.5.8-3            OK
dbus                                      1.8.16-1           OK
dbus-x11                                  1.8.16-1           OK
dconf-service                             0.24.0-1           OK
dejavu-fonts                              2.35-1             OK
desktop-file-utils                        0.22-1             OK
dialog                                    1.2-20150225-2     OK
diffutils                                 3.3-3              OK
dri-drivers                               11.0.9-2           OK
ed                                        1.13-1             OK
editrights                                1.03-1             OK
emacs                                     24.5-2             OK
emacs-auctex                              11.89-1            OK
emacs-cmake                               3.3.2-1            OK
emacs-el                                  24.5-2             OK
emacs-ocaml                               4.02.3-2           OK
emacs-w32                                 24.5-2             OK
emacs-X11                                 24.5-2             OK
file                                      5.25-1             OK
findutils                                 4.5.12-1           OK
flexdll                                   0.34-1             OK
Empty package font-adobe-dpi75
font-adobe-dpi75                          7.5-2              OK
Empty package font-alias
font-alias                                7.5-2              OK
font-bitstream-vera-ttf                   1.10-1             OK
Empty package font-misc-misc
font-misc-misc                            7.5-2              OK
font-tektronix-misc                       2.6-4              OK
font-util                                 1.3.1-2            OK
fontconfig                                2.11.1-3           OK
gamin                                     0.1.10-15          OK
gawk                                      4.1.3-1            OK
gcc-core                                  5.3.0-2            OK
gdb                                       7.10.1-1           OK
gdk-pixbuf2.0-svg                         2.40.11-1          OK
getent                                    2.18.90-4          OK
ghostscript                               9.15-2             OK
ghostscript-fonts-other                   6.0-1              OK
ghostscript-fonts-std                     8.11-1             OK
git                                       2.7.0-1            OK
git-completion                            2.7.0-1            OK
git-gui                                   2.7.0-1            OK
git-review                                1.25.0-1           OK
git-svn                                   2.7.0-1            OK
gitk                                      2.7.0-1            OK
glib2.0-networking                        2.46.1-1           OK
gnome-menus                               3.13.3-3           OK
grep                                      2.21-2             OK
groff                                     1.22.3-1           OK
gsettings-desktop-schemas                 3.18.1-1           OK
gtk-update-icon-cache                     3.18.7-1           OK
gtk2.0-engines-pixmap                     2.24.29-1          OK
gzip                                      1.6-1              OK
hicolor-icon-theme                        0.12-1             OK
hostname                                  3.13-1             OK
httpd                                     2.4.18-1           OK
httpd-manual                              2.4.18-1           OK
inetutils                                 1.9.1-2            OK
inetutils-server                          1.9.1-2            OK
info                                      6.1-1              OK
ipc-utils                                 1.0-2              OK
kbproto                                   1.0.7-1            OK
less                                      481-1              OK
libanthy-common                           9100h-2            OK
libanthy0                                 9100h-2            OK
libapr1                                   1.5.2-2            OK
libaprutil1                               1.5.4-2            OK
libarchive13                              3.1.2-3            OK
libargp                                   20110921-2         OK
libatk-bridge2.0_0                        2.18.1-1           OK
libatk1.0_0                               2.18.0-1           OK
libatomic1                                5.3.0-2            OK
libatspi0                                 2.18.3-1           OK
libattr1                                  2.4.46-1           OK
libautotrace3                             0.31.1-16          OK
libblkid1                                 2.25.2-2           OK
libbz2_1                                  1.0.6-2            OK
libcairo2                                 1.14.4-1           OK
libcatgets1                               1.1-2              OK
libcloog-isl4                             0.18.0-2           OK
libcom_err2                               1.42.12-2          OK
libcroco0.6_3                             0.6.9-1            OK
libcrypt0                                 1.1-1              OK
libcurl4                                  7.47.1-1           OK
libdatrie1                                0.2.8-1            OK
libdb5.3                                  5.3.21-1           OK
libdbus1_3                                1.8.16-1           OK
libdialog12                               1.2-20150225-2     OK
libedit0                                  20130712-1         OK
libEGL1                                   11.0.9-2           OK
libEMF1                                   1.0.7-1            OK
libepoxy0                                 1.2-1              OK
libevent2.0_5                             2.0.22-1           OK
libexpat1                                 2.1.0-3            OK
libfam0                                   0.1.10-15          OK
libffi6                                   3.2.1-2            OK
libfftw3_3                                3.3.4-2            OK
libfontconfig1                            2.11.1-3           OK
libfontenc1                               1.1.3-1            OK
libfpx1                                   1.3.1.4-1          OK
libfreetype6                              2.5.5-2            OK
libfribidi0                               0.19.2-1           OK
libgcc1                                   5.3.0-2            OK
libgcrypt20                               1.6.4-1            OK
libgd3                                    2.1.1-1            OK
libgdbm4                                  1.11-1             OK
libgdk_pixbuf2.0_0                        2.32.2-1           OK
libgfortran3                              5.3.0-2            OK
libgif4                                   4.1.6-12           OK
libGL1                                    11.0.9-2           OK
libglapi0                                 11.0.9-2           OK
libglib2.0_0                              2.46.2-2           OK
libgmp10                                  6.1.0-3p1          OK
libgnome-menu3_0                          3.13.3-3           OK
libgnutls28                               3.3.17-1           OK
libgomp1                                  5.3.0-2            OK
libgpg-error0                             1.19-1             OK
libgraphite2_3                            1.2.3-1            OK
libgs9                                    9.15-2             OK
libgssapi_krb5_2                          1.13.2-4           OK
libgtk2.0_0                               2.24.29-1          OK
libgtk3_0                                 3.18.7-1           OK
libguile17                                1.8.8-1            OK
libharfbuzz-icu0                          1.0.6-1            OK
libharfbuzz0                              1.0.6-1            OK
libhogweed2                               2.7-2              OK
libICE6                                   1.0.9-1            OK
libiconv                                  1.14-3             OK
libiconv2                                 1.14-3             OK
libicu56                                  56.1-1             OK
libidn11                                  1.29-1             OK
libintl-devel                             0.19.5.1-2         OK
libintl8                                  0.19.5.1-2         OK
libiodbc2                                 3.52.8-2           OK
libisl10                                  0.11.1-2           OK
libisl13                                  0.14.1-1           OK
libjasper1                                1.900.1-15         OK
libjbig2                                  2.0-14             OK
libjpeg8                                  1.4.2-1            OK
libjson-glib1.0_0                         1.0.4-1            OK
libk5crypto3                              1.13.2-4           OK
libkpathsea6                              20150521-3         OK
libkrb5_3                                 1.13.2-4           OK
libkrb5support0                           1.13.2-4           OK
liblapack0                                3.5.0r1606-3       OK
liblcms2_2                                2.6-1              OK
libllvm3.5                                3.5.2-1            OK
libllvm3.7                                3.7.1-1            OK
libltdl7                                  2.4.6-3            OK
liblzma5                                  5.2.2-1            OK
liblzo2_2                                 2.08-1             OK
libm17n0                                  1.7.0-1            OK
libMagickC++6_5                           6.9.0.0-4          OK
libMagickCore6_2                          6.9.1.3-3          OK
libMagickWand6_2                          6.9.1.3-3          OK
libmcpp0                                  2.7.2-2            OK
libmetalink3                              0.1.2-1            OK
libming1                                  0.4.7-1            OK
libmpc3                                   1.0.3-1            OK
libmpfr4                                  3.1.3-1            OK
libmysqlclient18                          5.5.45-1           OK
libncurses-devel                          6.0-3.20160213     OK
libncursesw10                             6.0-3.20160213     OK
libneon27                                 0.30.1-1           OK
libnettle4                                2.7-2              OK
libnghttp2_14                             1.6.0-1            OK
libopenjpeg1                              1.5.2-2            OK
libopenldap2_4_2                          2.4.42-1           OK
libopenssl100                             1.0.2f-1           OK
libotf0                                   0.9.13-1           OK
libp11-kit0                               0.22.1-1           OK
libpango1.0_0                             1.38.1-1           OK
libpaper-common                           1.1.24-2           OK
libpaper1                                 1.1.24-2           OK
libpcre1                                  8.38-1             OK
libpipeline1                              1.4.0-1            OK
libpixman1_0                              0.32.8-1           OK
libplotter2                               2.6-4              OK
libpng16                                  1.6.20-1           OK
libpoppler55                              0.36.0-2           OK
Empty package libpopt0
libpopt0                                  1.16-1             OK
libpq5                                    9.5.1-1            OK
libproxy1                                 0.4.11-5           OK
libpsl5                                   0.12.0-1           OK
libpstoedit0                              3.70-1             OK
libptexenc1                               20150521-3         OK
libquadmath0                              5.3.0-2            OK
libreadline7                              6.3.8-1            OK
librest0.7_0                              0.7.93-1           OK
librsvg2_2                                2.40.11-1          OK
libsasl2_3                                2.1.26-9           OK
libserf1_0                                1.3.8-1            OK
libsigsegv2                               2.10-2             OK
libSM6                                    1.2.2-1            OK
libsmartcols1                             2.25.2-2           OK
libsoup-gnome2.4_1                        2.52.2-1           OK
libsoup2.4_1                              2.52.2-1           OK
libsqlite3_0                              3.11.0-1           OK
libssh2_1                                 1.5.0-1            OK
libssp0                                   5.3.0-2            OK
libstdc++6                                5.3.0-2            OK
libsynctex1                               20150521-3         OK
libtasn1_6                                4.7-1              OK
libtexlua52_5                             20150521-3         OK
libtexluajit2                             20150521-3         OK
libthai0                                  0.1.21-1           OK
libtiff6                                  4.0.6-1            OK
libunistring2                             0.9.6-1            OK
libuuid-devel                             2.25.2-2           OK
libuuid1                                  2.25.2-2           OK
libvpx1                                   1.3.0-2            OK
libvtv0                                   5.3.0-2            OK
libwebp5                                  0.4.3-1            OK
libwebpmux1                               0.4.3-1            OK
libwrap0                                  7.6-22             OK
libX11-devel                              1.6.3-1            OK
libX11-xcb1                               1.6.3-1            OK
libX11_6                                  1.6.3-1            OK
libXau-devel                              1.0.8-1            OK
libXau6                                   1.0.8-1            OK
libXaw7                                   1.0.13-1           OK
libxcb-composite0                         1.11.1-1           OK
libxcb-devel                              1.11.1-1           OK
libxcb-ewmh2                              0.4.1-1            OK
libxcb-glx0                               1.11.1-1           OK
libxcb-icccm4                             0.4.1-1            OK
libxcb-image0                             0.3.9-1            OK
libxcb-render0                            1.11.1-1           OK
libxcb-shm0                               1.11.1-1           OK
libxcb-util1                              0.3.9-1            OK
libxcb1                                   1.11.1-1           OK
libXcomposite1                            0.4.3-1            OK
libXcursor1                               1.1.14-1           OK
libXdamage1                               1.1.4-1            OK
libXdmcp-devel                            1.1.2-1            OK
libXdmcp6                                 1.1.2-1            OK
libXext6                                  1.3.3-1            OK
libXfixes3                                5.0.1-1            OK
libXfont1                                 1.5.1-1            OK
libXft2                                   2.3.2-1            OK
libXi6                                    1.7.6-1            OK
libXinerama1                              1.1.3-1            OK
libxkbfile1                               1.0.9-1            OK
libxml2                                   2.9.3-1            OK
libXmu6                                   1.1.2-1            OK
libXmuu1                                  1.1.2-1            OK
libXpm-noX_4                              3.5.10-1           OK
libXpm4                                   3.5.11-1           OK
libXrandr2                                1.5.0-1            OK
libXrender1                               0.9.9-1            OK
libxslt                                   1.1.28-2           OK
libXss1                                   1.2.2-1            OK
libXt6                                    1.1.5-1            OK
libXtst6                                  1.2.2-1            OK
libyaml0_2                                0.1.6-2            OK
libzip2                                   0.11.2-2           OK
libzzip0.13                               0.13.62-1          OK
lighttpd                                  1.4.37-1           OK
links                                     2.12-1             OK
login                                     1.11-1             OK
lua5.1                                    5.1.5-3            OK
luit                                      20130217-1         OK
lynx                                      2.8.7-2            OK
m17n-db                                   1.7.0-1            OK
make                                      4.1-1              OK
man-db                                    2.7.4-1            OK
mcpp                                      2.7.2-2            OK
mintty                                    2.2.3-0            OK
mkfontdir                                 1.0.7-1            OK
mkfontscale                               1.1.1-1            OK
ncurses                                   6.0-3.20160213     OK
Empty package ocaml
ocaml                                     4.02.3-2           OK
ocaml-base                                4.02.3-2           OK
ocaml-camlp4                              4.01.0-2           OK
ocaml-compiler-libs                       4.02.3-2           OK
openssh                                   7.1p2-1            OK
openssl                                   1.0.2f-1           OK
p11-kit                                   0.22.1-1           OK
p11-kit-trust                             0.22.1-1           OK
p7zip                                     15.09-2            OK
perl                                      5.22.1-1           OK
perl-autovivification                     0.16-1             OK
perl-Business-ISBN                        2.010-1            OK
perl-Business-ISBN-Data                   20140910.003-1     OK
perl-Business-ISMN                        1.13-2             OK
perl-Business-ISSN                        0.91-2             OK
perl-Carp                                 1.38-1             OK
perl-Data-Compare                         1.25-2             OK
perl-Data-Dump                            1.23-1             OK
perl-Date-Simple                          3.03-2             OK
perl-Digest-SHA1                          2.13-5             OK
perl-Encode-Locale                        1.05-1             OK
perl-Error                                0.17024-1          OK
perl-Exporter-Tiny                        0.042-2            OK
perl-File-Find-Rule                       0.34-1             OK
perl-File-Listing                         6.04-5             OK
perl-File-Slurp                           9999.19-5          OK
perl-File-Slurp-Tiny                      0.004-1            OK
perl-File-Which                           1.19-1             OK
perl-HTML-Parser                          3.72-1             OK
perl-HTML-Tagset                          3.20-5             OK
perl-HTTP-Cookies                         6.01-5             OK
perl-HTTP-Daemon                          6.01-5             OK
perl-HTTP-Date                            6.02-5             OK
perl-HTTP-Message                         6.11-1             OK
perl-HTTP-Negotiate                       6.01-5             OK
perl-IO-HTML                              1.001-2            OK
perl-IO-Socket-SSL                        2.023-1            OK
perl-IO-String                            1.08-5             OK
perl-IPC-Cmd                              0.92-2             OK
perl-IPC-Run3                             0.048-2            OK
perl-libwww-perl                          6.15-1             OK
perl-List-AllUtils                        0.09-2             OK
perl-List-MoreUtils                       0.413-1            OK
perl-Log-Log4perl                         1.46-2             OK
perl-LWP-MediaTypes                       6.02-5             OK
perl-LWP-Protocol-https                   6.06-2             OK
perl-MIME-Charset                         1.012-2            OK
perl-Mojolicious                          6.42-1             OK
perl-Mozilla-CA                           20160104-1         OK
perl-Net-HTTP                             6.09-1             OK
perl-Net-SSLeay                           1.72-2             OK
perl-Number-Compare                       0.03-5             OK
perl-Pod-Simple                           3.32-1             OK
perl-Regexp-Common                        2016010801-1       OK
perl-Scalar-List-Utils                    1.42-2             OK
perl-SGMLSpm                              1.03ii-4           OK
perl-Socket                               2.021-1            OK
perl-Text-BibTeX                          0.71-1             OK
perl-Text-Glob                            0.09-5             OK
perl-Text-Roman                           3.5-1              OK
perl-TimeDate                             2.30-2             OK
perl-Tk                                   804.033-1          OK
perl-Tk-Pod                               0.9942-1           OK
perl-Unicode-Collate                      1.14-1             OK
perl-Unicode-LineBreak                    2015.12-1          OK
perl-Unicode-Normalize                    1.25-1             OK
perl-URI                                  1.71-1             OK
perl-WWW-RobotRules                       6.02-5             OK
perl-XML-LibXML                           2.0123-1           OK
perl-XML-LibXML-Simple                    0.95-2             OK
perl-XML-LibXSLT                          1.94-2             OK
perl-XML-NamespaceSupport                 1.11-5             OK
perl-XML-Parser                           2.44-2             OK
perl-XML-SAX                              0.99-5             OK
perl-XML-SAX-Base                         1.08-5             OK
perl-XML-Writer                           0.625-1            OK
perl_autorebase                           5.22.1-1           OK
perl_base                                 5.22.1-1           OK
ping                                      1.0.2-1            OK
pkg-config                                0.29-1             OK
poppler-data                              0.4.6-1            OK
popt                                      1.16-1             OK
preview-latex                             11.89-1            OK
procps                                    3.2.8-5            OK
python                                    2.7.10-1           OK
python-beautifulsoup                      3.2.1-1            OK
python-cffi                               0.9.2-1            OK
python-chardet                            2.2.1-1            OK
python-docutils                           0.12-1             OK
python-imaging                            2.8.1-1            OK
python-jinja2                             2.7.3-1            OK
python-lxml                               3.4.4-1            OK
python-markupsafe                         0.23-1             OK
python-numpy                              1.9.2-1            OK
python-ply                                3.6-1              OK
python-pycparser                          2.12-1             OK
python-pygments                           2.0.2-1            OK
python-requests                           2.7.0-1            OK
python-setuptools                         15.2-1             OK
python-simplejson                         3.6.5-1            OK
python-six                                1.9.0-1            OK
python-sphinx                             1.2.3-1            OK
python-urllib3                            1.10.4-1           OK
python3                                   3.4.3-1            OK
rebase                                    4.4.1-1            OK
rsync                                     3.1.2-1            OK
ruby                                      2.2.4-1            OK
ruby-json                                 1.8.2-1            OK
ruby-minitest4                            4.7.5-1            OK
ruby-rake                                 10.4.2-1           OK
ruby-rdoc                                 4.2.0-1            OK
rubygems                                  2.4.8-1            OK
run                                       1.3.4-2            OK
screen                                    4.3.1-2            OK
sed                                       4.2.2-3            OK
setxkbmap                                 1.3.1-1            OK
shared-mime-info                          1.6-1              OK
subversion                                1.9.3-1            OK
subversion-debuginfo                      1.9.3-1            OK
subversion-perl                           1.9.3-1            OK
tar                                       1.28-1             OK
tcl                                       8.5.18-1           OK
tcl-tk                                    8.5.18-1           OK
tcsh                                      6.19.00-2          OK
terminfo                                  6.0-3.20160213     OK
terminfo-extra                            6.0-3.20160213     OK
texlive                                   20150521-3         OK
texlive-collection-basic                  20150617-3         OK
texlive-collection-basic-doc              20150521-1         OK
texlive-collection-bibtexextra            20151230-1         OK
texlive-collection-bibtexextra-doc        20151230-1         OK
texlive-collection-binextra               20150521-1         OK
texlive-collection-binextra-doc           20150521-1         OK
texlive-collection-context                20150521-1         OK
texlive-collection-context-doc            20150521-1         OK
texlive-collection-fontsextra             20150521-1         OK
texlive-collection-fontsextra-doc         20150521-1         OK
texlive-collection-fontsrecommended       20150521-1         OK
texlive-collection-fontsrecommended-doc   20150521-1         OK
texlive-collection-fontutils              20150521-1         OK
texlive-collection-fontutils-doc          20150521-1         OK
texlive-collection-formatsextra           20150521-1         OK
texlive-collection-genericextra           20150521-1         OK
texlive-collection-genericextra-doc       20150521-1         OK
texlive-collection-genericrecommended     20150521-1         OK
texlive-collection-genericrecommended-doc 20150521-1         OK
texlive-collection-htmlxml                20150521-1         OK
texlive-collection-humanities             20150521-1         OK
texlive-collection-humanities-doc         20150521-1         OK
texlive-collection-langenglish            20150521-1         OK
texlive-collection-langeuropean           20150521-1         OK
texlive-collection-langfrench             20150521-1         OK
texlive-collection-langgerman             20150521-1         OK
texlive-collection-langspanish            20150521-1         OK
texlive-collection-latex                  20150521-1         OK
texlive-collection-latex-doc              20150521-1         OK
texlive-collection-latexextra             20150521-1         OK
texlive-collection-latexextra-doc         20150521-1         OK
texlive-collection-latexrecommended       20150521-1         OK
texlive-collection-latexrecommended-doc   20150521-1         OK
texlive-collection-mathextra              20150521-1         OK
texlive-collection-mathextra-doc          20150521-1         OK
texlive-collection-metapost               20150521-1         OK
texlive-collection-metapost-doc           20150521-1         OK
texlive-collection-pictures               20150521-1         OK
texlive-collection-pstricks               20150521-1         OK
texlive-collection-pstricks-doc           20150521-1         OK
texlive-collection-publishers             20150521-1         OK
texlive-collection-publishers-doc         20150521-1         OK
texlive-collection-science                20150521-1         OK
texlive-collection-science-doc            20150521-1         OK
tmux                                      2.1-1              OK
tzcode                                    2016a-1            OK
unison2.40                                2.40.102-1         OK
unison2.45                                2.45.28-1          OK
unison2.48                                2.48.3-1           OK
unzip                                     6.0-15             OK
util-linux                                2.25.2-2           OK
vim                                       7.4.1385-1         OK
vim-common                                7.4.1385-1         OK
vim-minimal                               7.4.1385-1         OK
w32api-headers                            4.0.4-1            OK
w32api-runtime                            4.0.4-1            OK
wget                                      1.17.1-1           OK
which                                     2.20-2             OK
windows-default-manifest                  6.4-1              OK
xauth                                     1.0.9-1            OK
xcursor-themes                            1.0.4-1            OK
xdg-user-dirs                             0.15-1             OK
xeyes                                     1.1.1-1            OK
xf86-video-dummy                          0.3.7-4            OK
xf86-video-nested                         0.1.0-7            OK
xinit                                     1.3.4-9            OK
xkbcomp                                   1.3.1-1            OK
xkeyboard-config                          2.17-1             OK
xmodmap                                   1.0.9-1            OK
xorg-docs                                 1.7.1-1            OK
xorg-server                               1.18.1-1           OK
xorg-server-common                        1.18.1-1           OK
xorg-x11-fonts-dpi100                     7.5-2              OK
xorg-x11-fonts-dpi75                      7.5-2              OK
xorg-x11-fonts-misc                       7.5-2              OK
xorg-x11-fonts-Type1                      7.5-2              OK
xproto                                    7.0.28-1           OK
xrdb                                      1.1.0-1            OK
xterm                                     320-1              OK
xwin-xdg-menu                             20150708-1         OK
xxd                                       7.4.1385-1         OK
xz                                        5.2.2-1            OK
zip                                       3.0-12             OK
zlib0                                     1.2.8-3            OK
Use -h to see help about each section

[-- Attachment #3: Type: text/plain, Size: 218 bytes --]

--
Problem reports:       http://cygwin.com/problems.html
FAQ:                   http://cygwin.com/faq/
Documentation:         http://cygwin.com/docs.html
Unsubscribe info:      http://cygwin.com/ml/#unsubscribe-simple

^ permalink raw reply	[flat|nested] 4+ messages in thread

end of thread, other threads:[~2016-02-26 23:31 UTC | newest]

Thread overview: 4+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2016-02-24 23:30 core dump on rebaseall Michael Steiner
2016-02-25  1:15 ` Larry Hall (Cygwin)
2016-02-26 23:06 ` Michael Steiner
2016-02-26 23:31   ` core dump on rebaseall [attn ocaml maintainer] Marco Atzeri

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for read-only IMAP folder(s) and NNTP newsgroup(s).