public inbox for cygwin@cygwin.com
 help / color / mirror / Atom feed
* Flex 2.6.3-1: error: ‘INITIAL’ undeclared here (not in a function)
       [not found] <266112322.1160696.1492323620307.ref@mail.yahoo.com>
@ 2017-04-16 10:02 ` ram rao via cygwin
  2017-04-16 10:06   ` Marco Atzeri
  0 siblings, 1 reply; 2+ messages in thread
From: ram rao via cygwin @ 2017-04-16 10:02 UTC (permalink / raw)
  To: cygwin

[-- Attachment #1: Type: text/plain, Size: 301 bytes --]

I get this error:


vlex.l:37:25: error: ‘INITIAL’ undeclared here (not in a function) 

static int CurrentState=INITIAL;


Googling this issue suggests one try "flex-old"

However that is not available anywhere


Attached pls find:

  cygcheck.out

  vlex.l

Pls help

Thanks

[-- Attachment #2: cygcheck.out --]
[-- Type: text/plain, Size: 96079 bytes --]


Cygwin Configuration Diagnostics
Current System Time: Sun Apr 16 06:50:11 2017

Windows 10 Home Ver 10.0 Build 10586 

Path:	C:\cygwin64\usr\local\bin
	C:\cygwin64\bin
	C:\Program Files (x86)\Intel\iCLS Client
	C:\Program Files\Intel\iCLS Client
	C:\Windows\system32
	C:\Windows
	C:\Windows\System32\Wbem
	C:\Windows\System32\WindowsPowerShell\v1.0
	C:\Program Files (x86)\Intel\Intel(R) Management Engine Components\DAL
	C:\Program Files\Intel\Intel(R) Management Engine Components\DAL
	C:\Program Files (x86)\Intel\Intel(R) Management Engine Components\IPT
	C:\Program Files\Intel\Intel(R) Management Engine Components\IPT
	C:\Program Files\Intel\WiFi\bin
	C:\Program Files\Common Files\Intel\WirelessCommon
	C:\Program Files (x86)\Bitvise Tunnelier
	C:\Program Files (x86)\Windows Kits\10\Windows Performance Toolkit

Output from C:\cygwin64\bin\id.exe
197121(None)                   559(Performance Log Users)
545(Users)                     4(INTERACTIVE)
66049(CONSOLE LOGON)           11(Authenticated Users)
15(This Organization)          113(Local account)
66048(LOCAL)                   262154(NTLM Authentication)
401408(Medium Mandatory Level)

SysDir: C:\Windows\system32
WinDir: C:\Windows

PWD = '/cygdrive/c/utcygwin_030217B/parser9'

USERDOMAIN = 'DESKTOP-R31SBL1'
OS = 'Windows_NT'
COMMONPROGRAMFILES = 'C:\Program Files\Common Files'
PROCESSOR_LEVEL = '6'
PSModulePath = 'C:\Program Files\WindowsPowerShell\Modules;C:\Windows\system32\WindowsPowerShell\v1.0\Modules'
CommonProgramW6432 = 'C:\Program Files\Common Files'
CommonProgramFiles(x86) = 'C:\Program Files (x86)\Common Files'
LANG = 'en_US.UTF-8'
TZ = 'America/Los_Angeles'
DISPLAY = ':0'
HOSTNAME = 'DESKTOP-R31SBL1'
PUBLIC = 'C:\Users\Public'
OLDPWD = '/'
LOGONSERVER = '\\DESKTOP-R31SBL1'
PROCESSOR_ARCHITECTURE = 'AMD64'
COMPUTERNAME = 'DESKTOP-R31SBL1'
XTERM_SHELL = '/bin/bash'
!:: = '::\'
SYSTEMDRIVE = 'C:'
PATHEXT = '.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC'
SYSTEMROOT = 'C:\Windows'
USERDOMAIN_ROAMINGPROFILE = 'DESKTOP-R31SBL1'
PROCESSOR_IDENTIFIER = 'Intel64 Family 6 Model 142 Stepping 9, GenuineIntel'
TMP = '/tmp'
PROCESSOR_REVISION = '8e09'
PROFILEREAD = 'true'
XTERM_VERSION = 'XTerm(327)'
NUMBER_OF_PROCESSORS = '4'
ProgramW6432 = 'C:\Program Files'
COMSPEC = 'C:\Windows\system32\cmd.exe'
TERM = 'xterm'
SHELL = '/bin/bash'
WINDIR = 'C:\Windows'
ProgramData = 'C:\ProgramData'
SHLVL = '2'
PRINTER = 'Microsoft Print to PDF'
PROGRAMFILES = 'C:\Program Files'
WINDOWID = '6291491'
ALLUSERSPROFILE = 'C:\ProgramData'
TEMP = '/tmp'
asl.log = 'Destination=file'
SESSIONNAME = 'Console'
ProgramFiles(x86) = 'C:\Program Files (x86)'
PS1 = '\[\e]0;\w\a\]\n\[\e[32m\]\u@\h \[\e[33m\]\w\[\e[0m\]\n\$ '
HOMEDRIVE = 'C:'
INFOPATH = '/usr/local/info:/usr/share/info:/usr/info'
ORIGINAL_PATH = '/cygdrive/c/Program Files (x86)/Intel/iCLS Client:/cygdrive/c/Program Files/Intel/iCLS Client:/cygdrive/c/Windows/system32:/cygdrive/c/Windows:/cygdrive/c/Windows/System32/Wbem:/cygdrive/c/Windows/System32/WindowsPowerShell/v1.0:/cygdrive/c/Program Files (x86)/Intel/Intel(R) Management Engine Components/DAL:/cygdrive/c/Program Files/Intel/Intel(R) Management Engine Components/DAL:/cygdrive/c/Program Files (x86)/Intel/Intel(R) Management Engine Components/IPT:/cygdrive/c/Program Files/Intel/Intel(R) Management Engine Components/IPT:/cygdrive/c/Program Files/Intel/WiFi/bin:/cygdrive/c/Program Files/Common Files/Intel/WirelessCommon:/cygdrive/c/Program Files (x86)/Bitvise Tunnelier:/cygdrive/c/Program Files (x86)/Windows Kits/10/Windows Performance Toolkit'
XTERM_LOCALE = 'en_US.UTF-8'
EXECIGNORE = '*.dll'
_ = '/usr/bin/cygcheck'

HKEY_CURRENT_USER\SOFTWARE\Cygwin
HKEY_CURRENT_USER\SOFTWARE\Cygwin\Installations
  (default) = '\??\C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\DefaultIcon
  (default) = 'C:\cygwin64\bin\xlaunch.exe.exe,1'
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Edit
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Edit\command
  (default) = '"C:\cygwin64\bin\run.exe" --quote "C:\cygwin64\bin\bash.exe" -l -c "xlaunch -load \"$(cygpath '%1')\""'
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Open
HKEY_LOCAL_MACHINE\SOFTWARE\Classes\XLaunch.cygwin\Shell\Open\command
  (default) = '"C:\cygwin64\bin\run.exe" --quote "C:\cygwin64\bin\bash.exe" -l -c "xlaunch -run \"$(cygpath '%1')\""'
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin\mounts v2
  (default) = '/cygdrive'
  cygdrive flags = 0x00000022
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin\mounts v2\/
  (default) = 'c:/mysw/cygwin'
  flags = 0x0000000a
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin\mounts v2\/usr/bin
  (default) = 'c:/mysw/cygwin/bin'
  flags = 0x0000000a
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin\mounts v2\/usr/lib
  (default) = 'c:/mysw/cygwin/lib'
  flags = 0x0000000a
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin\mounts v2\/usr/X11R6/lib/X11/fonts
  (default) = 'C:\cygwin\usr\X11R6\lib\X11\fonts'
  flags = 0x0000000a
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\Cygwin\Program Options
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\00
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\01
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\02
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\03
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\04
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\05
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\06
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\07
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\08
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\09
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\0A
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\0B
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\0C
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\0D
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\0E
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\0F
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\10
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\11
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\12
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\13
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\14
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\15
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\16
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\17
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\18
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\19
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\1A
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\1B
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\1C
HKEY_LOCAL_MACHINE\SOFTWARE\Cygnus Solutions\CYGWIN.DLL setup\b15.0\mounts\1D
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\Installations
  (default) = '\??\C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\setup
  (default) = 'C:\cygwin64'

obcaseinsensitive set to 1

Cygwin installations found in the registry:
  System: Key: e022582115c10879 Path: C:\cygwin64
  User:   Key: e022582115c10879 Path: C:\cygwin64

c:  hd  NTFS    475102Mb  18% CP CS UN PA FC     EN
d:  fd  FAT32    59203Mb  32% CP    UN           EN

C:\cygwin64      /          system  binary,auto
C:\cygwin64\bin  /usr/bin   system  binary,auto
C:\cygwin64\lib  /usr/lib   system  binary,auto
cygdrive prefix  /cygdrive  user    binary,posix=0,auto

Found: C:\cygwin64\bin\awk
 -> C:\cygwin64\bin\gawk.exe
Found: C:\cygwin64\bin\bash.exe
Found: C:\cygwin64\bin\cat.exe
Found: C:\cygwin64\bin\cp.exe
Found: C:\cygwin64\bin\cpp.exe
Not Found: crontab
Found: C:\cygwin64\bin\find.exe
Found: C:\Windows\system32\find.exe
Warning: C:\cygwin64\bin\find.exe hides C:\Windows\system32\find.exe
Found: C:\cygwin64\bin\gcc.exe
Not Found: gdb
Found: C:\cygwin64\bin\grep.exe
Found: C:\cygwin64\bin\kill.exe
Found: C:\cygwin64\bin\ld.exe
Found: C:\cygwin64\bin\ls.exe
Found: C:\cygwin64\bin\make.exe
Found: C:\cygwin64\bin\mv.exe
Not Found: patch
Found: C:\cygwin64\bin\perl.exe
Found: C:\cygwin64\bin\rm.exe
Found: C:\cygwin64\bin\sed.exe
Found: C:\cygwin64\bin\ssh.exe
Found: C:\cygwin64\bin\sh.exe
Found: C:\cygwin64\bin\tar.exe
Found: C:\cygwin64\bin\test.exe
Found: C:\cygwin64\bin\vi.exe
Not Found: vim

   53k 2013/11/01 C:\cygwin64\bin\cyganthy-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthy-0.dll" v0.0 ts=2013-11-01 07:21
  249k 2013/11/01 C:\cygwin64\bin\cyganthydic-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthydic-0.dll" v0.0 ts=2013-11-01 07:20
   51k 2013/11/01 C:\cygwin64\bin\cyganthyinput-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthyinput-0.dll" v0.0 ts=2013-11-01 07:21
   39k 2016/09/19 C:\cygwin64\bin\cygargp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygargp-0.dll" v0.0 ts=2016-09-19 02:13
  643k 2013/04/30 C:\cygwin64\bin\cygaspell-15.dll - os=4.0 img=0.0 sys=5.2
                  "cygaspell-15.dll" v0.0 ts=2013-04-30 08:11
   20k 2013/06/03 C:\cygwin64\bin\cygasyncns-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygasyncns-0.dll" v0.0 ts=2013-06-03 20:41
  116k 2017/03/05 C:\cygwin64\bin\cygatk-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatk-1.0-0.dll" v0.0 ts=2017-03-05 04:23
  157k 2017/03/05 C:\cygwin64\bin\cygatk-bridge-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatk-bridge-2.0-0.dll" v0.0 ts=2017-03-05 08:44
   88k 2016/06/13 C:\cygwin64\bin\cygatomic-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygatomic-1.dll" v0.0 ts=2016-06-13 17:14
  145k 2017/03/21 C:\cygwin64\bin\cygatspi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatspi-0.dll" v0.0 ts=2017-03-21 18:22
   16k 2013/03/26 C:\cygwin64\bin\cygattr-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygattr-1.dll" v0.0 ts=2013-03-26 18:26
  177k 2016/05/04 C:\cygwin64\bin\cygautotrace-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygautotrace-3.dll" v0.0 ts=2016-05-04 23:00
   60k 2016/09/09 C:\cygwin64\bin\cygavahi-client-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygavahi-client-3.dll" v0.0 ts=2016-09-08 18:03
   43k 2016/09/09 C:\cygwin64\bin\cygavahi-common-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygavahi-common-3.dll" v0.0 ts=2016-09-08 18:03
  180k 2015/03/23 C:\cygwin64\bin\cygblkid-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygblkid-1.dll" v0.0 ts=2015-03-23 09:46
   64k 2017/02/22 C:\cygwin64\bin\cygbz2-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygbz2-1.dll" v0.0 ts=2017-02-22 07:22
 1078k 2017/03/06 C:\cygwin64\bin\cygcairo-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-2.dll" v0.0 ts=2017-03-06 06:15
   27k 2017/03/06 C:\cygwin64\bin\cygcairo-gobject-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-gobject-2.dll" v0.0 ts=2017-03-06 06:16
  119k 2017/03/06 C:\cygwin64\bin\cygcairo-script-interpreter-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-script-interpreter-2.dll" v0.0 ts=2017-03-06 06:16
   13k 2013/03/06 C:\cygwin64\bin\cygcatgets1.dll - os=4.0 img=0.0 sys=5.2
                  "cygcatgets1.dll" v0.0 ts=2013-03-06 14:34
   12k 2016/07/28 C:\cygwin64\bin\cygcli.dll - os=4.0 img=0.0 sys=5.2
                  "cygcli.dll" v0.0 ts=2016-07-28 11:02
   13k 2015/03/19 C:\cygwin64\bin\cygcom_err-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcom_err-2.dll" v0.0 ts=2015-03-19 02:44
   31k 2013/04/24 C:\cygwin64\bin\cygcord-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygcord-1.dll" v0.0 ts=2013-04-24 23:14
  203k 2016/05/31 C:\cygwin64\bin\cygcroco-0.6-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygcroco-0.6-3.dll" v0.0 ts=2016-05-31 18:31
   10k 2016/06/09 C:\cygwin64\bin\cygcrypt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcrypt-0.dll" v0.0 ts=2016-06-09 16:02
 2235k 2017/01/26 C:\cygwin64\bin\cygcrypto-1.0.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcrypto-1.0.0.dll" v0.0 ts=2017-01-26 20:10
  478k 2016/12/29 C:\cygwin64\bin\cygcurl-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygcurl-4.dll" v0.0 ts=2016-12-29 04:33
   24k 2014/11/12 C:\cygwin64\bin\cygdatrie-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygdatrie-1.dll" v0.0 ts=2014-11-12 07:24
 1549k 2016/08/22 C:\cygwin64\bin\cygdb-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb-5.3.dll" v0.0 ts=2016-08-22 09:51
  267k 2016/10/10 C:\cygwin64\bin\cygdbus-1-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdbus-1-3.dll" v0.0 ts=2016-10-10 19:37
  124k 2016/10/10 C:\cygwin64\bin\cygdbus-glib-1-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygdbus-glib-1-2.dll" v0.0 ts=2016-10-10 03:34
  177k 2016/08/01 C:\cygwin64\bin\cygdbusmenu-qt5-2.dll - os=4.0 img=2.6 sys=5.2
                  "cygdbusmenu-qt5-2.dll" v0.0 ts=2016-08-01 04:21
  124k 2016/08/22 C:\cygwin64\bin\cygdb_cxx-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb_cxx-5.3.dll" v0.0 ts=2016-08-22 09:52
  570k 2016/08/22 C:\cygwin64\bin\cygdb_sql-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb_sql-5.3.dll" v0.0 ts=2016-08-22 09:52
   15k 2013/06/06 C:\cygwin64\bin\cygdmx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygdmx-1.dll" v0.0 ts=2013-06-06 20:04
  154k 2013/10/20 C:\cygwin64\bin\cygedit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygedit-0.dll" v0.0 ts=2013-10-20 21:56
  122k 2017/04/04 C:\cygwin64\bin\cygEGL-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygEGL-1.dll" v0.0 ts=2017-04-04 19:29
  158k 2016/08/23 C:\cygwin64\bin\cygEMF-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygEMF-1.dll" v0.0 ts=2016-08-23 17:23
  643k 2016/07/12 C:\cygwin64\bin\cygepoxy-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygepoxy-0.dll" v0.0 ts=2016-07-12 13:43
  145k 2016/06/21 C:\cygwin64\bin\cygexpat-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygexpat-1.dll" v0.0 ts=2016-06-21 23:15
   73k 2016/08/26 C:\cygwin64\bin\cygexslt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygexslt-0.dll" v0.0 ts=2016-08-26 22:10
   30k 2014/10/14 C:\cygwin64\bin\cygfam-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygfam-0.dll" v0.0 ts=2014-10-14 21:33
   27k 2015/11/17 C:\cygwin64\bin\cygffi-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygffi-6.dll" v0.0 ts=2015-11-17 22:14
 1050k 2017/02/02 C:\cygwin64\bin\cygfftw3-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3-3.dll" v0.0 ts=2017-02-02 12:10
 1009k 2017/02/02 C:\cygwin64\bin\cygfftw3f-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3f-3.dll" v0.0 ts=2017-02-02 12:05
   24k 2017/02/02 C:\cygwin64\bin\cygfftw3f_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3f_threads-3.dll" v0.0 ts=2017-02-02 12:05
  864k 2017/02/02 C:\cygwin64\bin\cygfftw3l-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3l-3.dll" v0.0 ts=2017-02-02 12:14
   24k 2017/02/02 C:\cygwin64\bin\cygfftw3l_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3l_threads-3.dll" v0.0 ts=2017-02-02 12:15
   24k 2017/02/02 C:\cygwin64\bin\cygfftw3_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3_threads-3.dll" v0.0 ts=2017-02-02 12:10
  473k 2017/01/04 C:\cygwin64\bin\cygFLAC-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygFLAC-8.dll" v0.0 ts=2017-01-04 23:19
  243k 2016/08/11 C:\cygwin64\bin\cygfontconfig-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfontconfig-1.dll" v0.0 ts=2016-08-11 02:54
   26k 2016/01/29 C:\cygwin64\bin\cygfontenc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfontenc-1.dll" v0.0 ts=2016-01-29 20:19
   55k 2017/03/29 C:\cygwin64\bin\cygformw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygformw-10.dll" v0.0 ts=2017-03-29 23:26
  545k 2015/01/15 C:\cygwin64\bin\cygfpx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfpx-1.dll" v0.0 ts=2015-01-15 12:50
  597k 2016/07/28 C:\cygwin64\bin\cygfreetype-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygfreetype-6.dll" v0.0 ts=2016-07-28 17:48
   93k 2016/06/02 C:\cygwin64\bin\cygfribidi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygfribidi-0.dll" v0.0 ts=2016-06-02 17:17
  103k 2013/04/24 C:\cygwin64\bin\cyggc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggc-1.dll" v0.0 ts=2013-04-24 23:14
    8k 2013/04/24 C:\cygwin64\bin\cyggccpp-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggccpp-1.dll" v0.0 ts=2013-04-24 23:14
   70k 2016/06/13 C:\cygwin64\bin\cyggcc_s-seh-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcc_s-seh-1.dll" v0.0 ts=2016-06-13 16:18
  156k 2013/08/06 C:\cygwin64\bin\cyggconf-2-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggconf-2-4.dll" v0.0 ts=2013-08-06 20:22
  580k 2016/09/22 C:\cygwin64\bin\cyggcrypt-20.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcrypt-20.dll" v0.0 ts=2016-09-22 21:21
  345k 2017/01/27 C:\cygwin64\bin\cyggd-3.dll - os=4.0 img=0.0 sys=5.2
                  "cyggd-3.dll" v0.0 ts=2017-01-27 08:59
   39k 2016/10/10 C:\cygwin64\bin\cyggdbm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdbm-4.dll" v0.0 ts=2016-10-10 09:26
   13k 2016/10/10 C:\cygwin64\bin\cyggdbm_compat-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdbm_compat-4.dll" v0.0 ts=2016-10-10 09:26
  685k 2017/03/14 C:\cygwin64\bin\cyggdk-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk-3-0.dll" v0.0 ts=2017-03-14 19:39
  608k 2016/12/09 C:\cygwin64\bin\cyggdk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk-x11-2.0-0.dll" v0.0 ts=2016-12-08 23:51
  138k 2017/03/05 C:\cygwin64\bin\cyggdk_pixbuf-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk_pixbuf-2.0-0.dll" v0.0 ts=2017-03-05 08:28
   32k 2013/07/22 C:\cygwin64\bin\cyggif-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggif-4.dll" v0.0 ts=2013-07-22 05:17
 1344k 2017/03/17 C:\cygwin64\bin\cyggio-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggio-2.0-0.dll" v0.0 ts=2017-03-06 06:26
  455k 2017/04/04 C:\cygwin64\bin\cygGL-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygGL-1.dll" v0.0 ts=2017-04-04 19:29
  289k 2017/04/04 C:\cygwin64\bin\cygglapi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygglapi-0.dll" v0.0 ts=2017-04-04 19:14
  975k 2017/03/17 C:\cygwin64\bin\cygglib-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygglib-2.0-0.dll" v0.0 ts=2017-03-05 03:42
   15k 2017/03/17 C:\cygwin64\bin\cyggmodule-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmodule-2.0-0.dll" v0.0 ts=2017-03-17 20:26
  527k 2017/01/21 C:\cygwin64\bin\cyggmp-10.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmp-10.dll" v0.0 ts=2017-01-21 18:25
   93k 2015/06/19 C:\cygwin64\bin\cyggnome-menu-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnome-menu-3-0.dll" v0.0 ts=2015-06-20 00:07
  931k 2015/08/28 C:\cygwin64\bin\cyggnutls-28.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-28.dll" v0.0 ts=2015-08-28 17:05
   24k 2015/08/28 C:\cygwin64\bin\cyggnutls-openssl-27.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-openssl-27.dll" v0.0 ts=2015-08-28 17:06
   41k 2015/08/28 C:\cygwin64\bin\cyggnutlsxx-28.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutlsxx-28.dll" v0.0 ts=2015-08-28 17:06
  284k 2017/03/17 C:\cygwin64\bin\cyggobject-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggobject-2.0-0.dll" v0.0 ts=2017-03-05 03:42
  105k 2016/06/13 C:\cygwin64\bin\cyggomp-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggomp-1.dll" v0.0 ts=2016-06-13 16:22
   10k 2016/06/13 C:\cygwin64\bin\cyggomp-plugin-host_nonshm-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggomp-plugin-host_nonshm-1.dll" v0.0 ts=2016-06-13 16:23
   68k 2016/07/28 C:\cygwin64\bin\cyggpg-error-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggpg-error-0.dll" v0.0 ts=2016-07-28 18:25
  156k 2014/12/10 C:\cygwin64\bin\cyggpgme-11.dll - os=4.0 img=0.0 sys=5.2
                  "cyggpgme-11.dll" v0.0 ts=2014-12-10 01:06
  155k 2014/12/10 C:\cygwin64\bin\cyggpgme-pthread-11.dll - os=4.0 img=0.0 sys=5.2
                  "cyggpgme-pthread-11.dll" v0.0 ts=2014-12-10 01:06
  176k 2016/10/30 C:\cygwin64\bin\cyggraphite2-3.dll - os=4.0 img=3.0 sys=5.2
                  "cyggraphite2-3.dll" v0.0 ts=2016-10-30 20:52
11540k 2016/06/29 C:\cygwin64\bin\cyggs-9.dll - os=4.0 img=0.0 sys=5.2
                  "cyggs-9.dll" v0.0 ts=2016-06-29 09:54
   46k 2015/02/17 C:\cygwin64\bin\cyggsm-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggsm-1.dll" v0.0 ts=2015-02-17 22:10
  258k 2016/09/26 C:\cygwin64\bin\cyggssapi_krb5-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyggssapi_krb5-2.dll" v0.0 ts=2016-09-26 06:55
    9k 2017/03/17 C:\cygwin64\bin\cyggthread-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggthread-2.0-0.dll" v0.0 ts=2017-03-05 03:42
 6617k 2017/03/14 C:\cygwin64\bin\cyggtk-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtk-3-0.dll" v0.0 ts=2017-03-14 19:56
 3928k 2016/12/09 C:\cygwin64\bin\cyggtk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtk-x11-2.0-0.dll" v0.0 ts=2016-12-09 00:02
  732k 2017/04/01 C:\cygwin64\bin\cygguile-17.dll - os=4.0 img=0.0 sys=5.2
                  "cygguile-17.dll" v0.0 ts=2017-04-01 13:04
 1311k 2017/04/01 C:\cygwin64\bin\cygguile-2.0-22.dll - os=4.0 img=0.0 sys=5.2
                  "cygguile-2.0-22.dll" v0.0 ts=2017-04-01 04:44
  396k 2017/03/05 C:\cygwin64\bin\cygharfbuzz-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygharfbuzz-0.dll" v0.0 ts=2017-03-05 04:22
   33k 2017/02/13 C:\cygwin64\bin\cyghistory7.dll - os=4.0 img=0.0 sys=5.2
                  "cyghistory7.dll" v0.0 ts=2017-02-11 22:39
  167k 2016/03/13 C:\cygwin64\bin\cyghogweed-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyghogweed-2.dll" v0.0 ts=2016-03-13 14:46
  305k 2015/08/28 C:\cygwin64\bin\cyghunspell-1.3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyghunspell-1.3-0.dll" v0.0 ts=2015-08-28 07:51
  378k 2016/10/28 C:\cygwin64\bin\cyghunspell-1.4-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyghunspell-1.4-0.dll" v0.0 ts=2016-10-28 19:59
   77k 2014/08/10 C:\cygwin64\bin\cygICE-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygICE-6.dll" v0.0 ts=1970-01-01 00:00
 1009k 2015/02/20 C:\cygwin64\bin\cygiconv-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiconv-2.dll" v0.0 ts=2015-02-20 17:07
25076k 2016/04/08 C:\cygwin64\bin\cygicudata57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata57.dll" v0.0 ts=2016-04-08 20:07
25607k 2016/12/10 C:\cygwin64\bin\cygicudata58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata58.dll" v0.0 ts=2016-12-10 16:53
 2082k 2016/04/08 C:\cygwin64\bin\cygicui18n57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n57.dll" v0.0 ts=2016-04-08 20:04
 2091k 2016/12/10 C:\cygwin64\bin\cygicui18n58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n58.dll" v0.0 ts=2016-12-10 16:52
   44k 2016/04/08 C:\cygwin64\bin\cygicuio57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio57.dll" v0.0 ts=2016-04-08 20:05
   44k 2016/12/10 C:\cygwin64\bin\cygicuio58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio58.dll" v0.0 ts=2016-12-10 16:52
  298k 2016/04/08 C:\cygwin64\bin\cygicule57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule57.dll" v0.0 ts=2016-04-08 20:05
   38k 2016/04/08 C:\cygwin64\bin\cygiculx57.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx57.dll" v0.0 ts=2016-04-08 20:05
 1400k 2016/04/08 C:\cygwin64\bin\cygicuuc57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc57.dll" v0.0 ts=2016-04-08 20:03
 1422k 2016/12/10 C:\cygwin64\bin\cygicuuc58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc58.dll" v0.0 ts=2016-12-10 16:51
  196k 2014/12/06 C:\cygwin64\bin\cygidn-11.dll - os=4.0 img=0.0 sys=5.2
                  "cygidn-11.dll" v0.0 ts=2014-12-06 13:17
  212k 2016/11/03 C:\cygwin64\bin\cygidn2-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygidn2-0.dll" v0.0 ts=2016-11-03 18:28
   42k 2016/10/23 C:\cygwin64\bin\cygintl-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygintl-8.dll" v0.0 ts=2016-10-23 07:17
  294k 2013/09/09 C:\cygwin64\bin\cygiodbc-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiodbc-2.dll" v0.0 ts=2013-09-09 16:36
   66k 2013/09/09 C:\cygwin64\bin\cygiodbcinst-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiodbcinst-2.dll" v0.0 ts=2013-09-09 16:35
 1039k 2015/09/20 C:\cygwin64\bin\cygisl-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygisl-13.dll" v0.0 ts=2015-09-20 12:39
  284k 2017/01/03 C:\cygwin64\bin\cygjasper-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygjasper-1.dll" v0.0 ts=2017-01-03 14:07
   48k 2014/06/17 C:\cygwin64\bin\cygjbig-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjbig-2.dll" v0.0 ts=1970-01-01 00:00
   18k 2014/06/17 C:\cygwin64\bin\cygjbig85-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjbig85-2.dll" v0.0 ts=1970-01-01 00:00
  403k 2016/08/07 C:\cygwin64\bin\cygjpeg-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygjpeg-8.dll" v0.0 ts=2016-08-07 20:20
   36k 2015/12/29 C:\cygwin64\bin\cygjson-c-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjson-c-2.dll" v0.0 ts=2015-12-29 18:44
  136k 2017/03/13 C:\cygwin64\bin\cygjson-glib-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygjson-glib-1.0-0.dll" v0.0 ts=2017-03-13 20:21
  177k 2016/09/26 C:\cygwin64\bin\cygk5crypto-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygk5crypto-3.dll" v0.0 ts=2016-09-26 06:55
  247k 2016/11/14 C:\cygwin64\bin\cygkdeinit5_konsole.dll - os=4.0 img=0.0 sys=5.2
                  "cygkdeinit5_konsole.dll" v0.0 ts=2016-11-14 06:18
  173k 2016/11/01 C:\cygwin64\bin\cygKF5Archive-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Archive-5.dll" v0.0 ts=2016-11-01 19:50
  549k 2016/11/01 C:\cygwin64\bin\cygKF5Attica-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Attica-5.dll" v0.0 ts=2016-11-01 12:46
   89k 2016/11/01 C:\cygwin64\bin\cygKF5Auth-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Auth-5.dll" v0.0 ts=2016-11-02 00:16
  252k 2016/11/02 C:\cygwin64\bin\cygKF5Bookmarks-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Bookmarks-5.dll" v0.0 ts=2016-11-02 04:00
  196k 2016/11/01 C:\cygwin64\bin\cygKF5Codecs-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Codecs-5.dll" v0.0 ts=2016-11-01 20:11
  183k 2016/11/01 C:\cygwin64\bin\cygKF5Completion-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Completion-5.dll" v0.0 ts=2016-11-02 00:51
  305k 2016/11/01 C:\cygwin64\bin\cygKF5ConfigCore-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5ConfigCore-5.dll" v0.0 ts=2016-11-01 20:20
  107k 2016/11/01 C:\cygwin64\bin\cygKF5ConfigGui-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5ConfigGui-5.dll" v0.0 ts=2016-11-01 20:21
  285k 2016/11/02 C:\cygwin64\bin\cygKF5ConfigWidgets-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5ConfigWidgets-5.dll" v0.0 ts=2016-11-02 03:37
  515k 2016/11/01 C:\cygwin64\bin\cygKF5CoreAddons-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5CoreAddons-5.dll" v0.0 ts=2016-11-01 20:48
   27k 2016/11/02 C:\cygwin64\bin\cygKF5Crash-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Crash-5.dll" v0.0 ts=2016-11-02 01:02
   67k 2016/11/01 C:\cygwin64\bin\cygKF5DBusAddons-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5DBusAddons-5.dll" v0.0 ts=2016-11-01 22:24
  112k 2016/11/02 C:\cygwin64\bin\cygKF5GlobalAccel-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5GlobalAccel-5.dll" v0.0 ts=2016-11-02 03:46
  120k 2016/11/02 C:\cygwin64\bin\cygKF5GlobalAccelPrivate-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5GlobalAccelPrivate-5.dll" v0.0 ts=2016-11-02 03:46
  231k 2016/11/11 C:\cygwin64\bin\cygKF5Gpgmepp-5.dll - os=4.0 img=5.3 sys=5.2
                  "cygKF5Gpgmepp-5.dll" v0.0 ts=2016-11-11 01:41
  231k 2016/11/11 C:\cygwin64\bin\cygKF5Gpgmepp-pthread-5.dll - os=4.0 img=5.3 sys=5.2
                  "cygKF5Gpgmepp-pthread-5.dll" v0.0 ts=2016-11-11 01:41
   83k 2016/11/01 C:\cygwin64\bin\cygKF5GuiAddons-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5GuiAddons-5.dll" v0.0 ts=2016-11-01 22:38
  294k 2016/11/01 C:\cygwin64\bin\cygKF5I18n-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5I18n-5.dll" v0.0 ts=2016-11-01 22:45
  212k 2016/11/02 C:\cygwin64\bin\cygKF5IconThemes-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5IconThemes-5.dll" v0.0 ts=2016-11-02 03:48
  187k 2016/11/01 C:\cygwin64\bin\cygKF5ItemViews-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5ItemViews-5.dll" v0.0 ts=2016-11-01 23:19
  123k 2016/11/02 C:\cygwin64\bin\cygKF5JobWidgets-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5JobWidgets-5.dll" v0.0 ts=2016-11-02 01:21
 1994k 2016/11/02 C:\cygwin64\bin\cygKF5KDELibs4Support-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5KDELibs4Support-5.dll" v0.0 ts=2016-11-02 06:51
 1333k 2016/11/02 C:\cygwin64\bin\cygKF5KIOCore-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5KIOCore-5.dll" v0.0 ts=2016-11-02 04:14
  679k 2016/11/02 C:\cygwin64\bin\cygKF5KIOFileWidgets-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5KIOFileWidgets-5.dll" v0.0 ts=2016-11-02 04:25
   43k 2016/11/02 C:\cygwin64\bin\cygKF5KIOGui-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5KIOGui-5.dll" v0.0 ts=2016-11-02 04:19
   23k 2016/11/02 C:\cygwin64\bin\cygKF5KIONTLM-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5KIONTLM-5.dll" v0.0 ts=2016-11-02 04:13
 1039k 2016/11/02 C:\cygwin64\bin\cygKF5KIOWidgets-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5KIOWidgets-5.dll" v0.0 ts=2016-11-02 04:22
  259k 2016/11/02 C:\cygwin64\bin\cygKF5Notifications-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Notifications-5.dll" v0.0 ts=2016-11-02 01:22
   83k 2016/11/02 C:\cygwin64\bin\cygKF5NotifyConfig-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5NotifyConfig-5.dll" v0.0 ts=2016-11-02 05:29
  339k 2016/11/02 C:\cygwin64\bin\cygKF5Parts-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Parts-5.dll" v0.0 ts=2016-11-02 05:54
   33k 2016/11/02 C:\cygwin64\bin\cygKF5Pty-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Pty-5.dll" v0.0 ts=2016-11-02 03:16
   25k 2016/11/11 C:\cygwin64\bin\cygKF5QGpgme-5.dll - os=4.0 img=5.3 sys=5.2
                  "cygKF5QGpgme-5.dll" v0.0 ts=2016-11-11 01:41
  586k 2016/11/02 C:\cygwin64\bin\cygKF5Service-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Service-5.dll" v0.0 ts=2016-11-02 03:44
  436k 2016/11/01 C:\cygwin64\bin\cygKF5Solid-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Solid-5.dll" v0.0 ts=2016-11-01 23:52
  149k 2016/11/01 C:\cygwin64\bin\cygKF5SonnetCore-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5SonnetCore-5.dll" v0.0 ts=2016-11-02 00:15
  139k 2016/11/01 C:\cygwin64\bin\cygKF5SonnetUi-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5SonnetUi-5.dll" v0.0 ts=2016-11-02 00:15
  221k 2016/11/02 C:\cygwin64\bin\cygKF5TextWidgets-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5TextWidgets-5.dll" v0.0 ts=2016-11-02 03:52
  104k 2016/11/02 C:\cygwin64\bin\cygKF5Wallet-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5Wallet-5.dll" v0.0 ts=2016-11-02 04:08
 1052k 2016/11/01 C:\cygwin64\bin\cygKF5WidgetsAddons-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5WidgetsAddons-5.dll" v0.0 ts=2016-11-01 23:40
  251k 2016/11/01 C:\cygwin64\bin\cygKF5WindowSystem-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5WindowSystem-5.dll" v0.0 ts=2016-11-01 23:39
  889k 2016/11/02 C:\cygwin64\bin\cygKF5XmlGui-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygKF5XmlGui-5.dll" v0.0 ts=2016-11-02 03:57
 1028k 2016/11/14 C:\cygwin64\bin\cygkonsoleprivate-16.dll - os=4.0 img=16.8 sys=5.2
                  "cygkonsoleprivate-16.dll" v0.0 ts=2016-11-14 06:17
  722k 2016/09/26 C:\cygwin64\bin\cygkrb5-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5-3.dll" v0.0 ts=2016-09-26 06:55
   35k 2016/09/26 C:\cygwin64\bin\cygkrb5support-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5support-0.dll" v0.0 ts=2016-09-26 06:54
  114k 2016/11/02 C:\cygwin64\bin\cygkwalletbackend5-5.dll - os=4.0 img=5.27 sys=5.2
                  "cygkwalletbackend5-5.dll" v0.0 ts=2016-11-02 04:08
   45k 2015/11/19 C:\cygwin64\bin\cyglber-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglber-2-4-2.dll" v0.0 ts=2015-11-19 14:17
  299k 2017/01/03 C:\cygwin64\bin\cyglcms2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglcms2-2.dll" v0.0 ts=2017-01-03 12:40
  246k 2015/11/19 C:\cygwin64\bin\cygldap-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygldap-2-4-2.dll" v0.0 ts=2015-11-19 14:18
  262k 2015/11/19 C:\cygwin64\bin\cygldap_r-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygldap_r-2-4-2.dll" v0.0 ts=2015-11-19 14:19
26685k 2016/07/21 C:\cygwin64\bin\cygLLVM-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.8.dll" v0.0 ts=2016-07-21 04:07
29379k 2017/02/20 C:\cygwin64\bin\cygLLVM-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.9.dll" v0.0 ts=2017-02-20 16:42
    6k 2017/04/01 C:\cygwin64\bin\cyglsa64.dll - os=4.0 img=0.0 sys=5.2
                  "cyglsa64.dll" v0.0 ts=2017-04-01 19:47
   35k 2016/06/28 C:\cygwin64\bin\cygltdl-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygltdl-7.dll" v0.0 ts=2016-06-28 18:41
  139k 2015/11/09 C:\cygwin64\bin\cyglzma-5.dll - os=4.0 img=0.0 sys=5.2
                  "cyglzma-5.dll" v0.0 ts=2015-11-09 06:12
  126k 2014/11/17 C:\cygwin64\bin\cyglzo2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglzo2-2.dll" v0.0 ts=2014-11-17 11:24
  147k 2015/02/13 C:\cygwin64\bin\cygm17n-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-0.dll" v0.0 ts=2015-02-13 03:39
  108k 2015/02/13 C:\cygwin64\bin\cygm17n-core-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-core-0.dll" v0.0 ts=2015-02-13 03:39
   39k 2015/02/13 C:\cygwin64\bin\cygm17n-flt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-flt-0.dll" v0.0 ts=2015-02-13 03:39
  136k 2015/02/13 C:\cygwin64\bin\cygm17n-gui-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-gui-0.dll" v0.0 ts=2015-02-13 03:39
  114k 2016/01/11 C:\cygwin64\bin\cygmagic-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmagic-1.dll" v0.0 ts=2016-01-11 22:19
  353k 2016/12/23 C:\cygwin64\bin\cygMagick++-6.Q16-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagick++-6.Q16-6.dll" v0.0 ts=2016-12-23 07:21
 4498k 2016/12/23 C:\cygwin64\bin\cygMagickCore-6.Q16-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickCore-6.Q16-2.dll" v0.0 ts=2016-12-23 07:18
 1150k 2016/12/23 C:\cygwin64\bin\cygMagickWand-6.Q16-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickWand-6.Q16-2.dll" v0.0 ts=2016-12-23 07:20
  169k 2016/10/10 C:\cygwin64\bin\cygman-2-7-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygman-2-7-5.dll" v0.0 ts=2016-10-10 22:07
   22k 2016/10/10 C:\cygwin64\bin\cygmandb-2-7-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygmandb-2-7-5.dll" v0.0 ts=2016-10-10 22:07
  132k 2014/01/21 C:\cygwin64\bin\cygmcpp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygmcpp-0.dll" v0.0 ts=2014-01-21 18:41
   29k 2017/03/29 C:\cygwin64\bin\cygmenuw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygmenuw-10.dll" v0.0 ts=2017-03-29 23:26
  329k 2016/08/24 C:\cygwin64\bin\cygming-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygming-1.dll" v0.0 ts=2016-08-24 15:27
   89k 2015/03/01 C:\cygwin64\bin\cygmpc-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpc-3.dll" v0.0 ts=2015-03-01 20:24
  346k 2017/04/08 C:\cygwin64\bin\cygmpfr-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpfr-4.dll" v0.0 ts=2017-04-08 12:19
  121k 2016/11/06 C:\cygwin64\bin\cygMrm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygMrm-4.dll" v0.0 ts=2016-11-06 22:26
 3492k 2016/11/10 C:\cygwin64\bin\cygmysqlclient-18.dll - os=4.0 img=18.0 sys=5.2
                  "cygmysqlclient-18.dll" v0.0 ts=2016-11-10 08:59
  494k 2017/03/09 C:\cygwin64\bin\cygnativeGLthunk.dll - os=4.0 img=0.0 sys=5.2
                  "cygnativeGLthunk.dll" v0.0 ts=2017-03-09 16:38
   53k 2017/03/29 C:\cygwin64\bin\cygncurses++w-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygncurses++w-10.dll" v0.0 ts=2017-03-29 23:31
  282k 2017/03/29 C:\cygwin64\bin\cygncursesw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygncursesw-10.dll" v0.0 ts=2017-03-29 23:25
  180k 2016/03/13 C:\cygwin64\bin\cygnettle-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygnettle-4.dll" v0.0 ts=2016-03-13 14:46
  137k 2017/03/13 C:\cygwin64\bin\cygnghttp2-14.dll - os=4.0 img=0.0 sys=5.2
                  "cygnghttp2-14.dll" v0.0 ts=2017-03-13 19:40
   22k 2013/06/01 C:\cygwin64\bin\cygogg-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygogg-0.dll" v0.0 ts=2013-06-01 17:40
  471k 2016/09/05 C:\cygwin64\bin\cygorc-0.4-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygorc-0.4-0.dll" v0.0 ts=2016-09-05 03:36
   27k 2016/09/05 C:\cygwin64\bin\cygorc-test-0.4-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygorc-test-0.4-0.dll" v0.0 ts=2016-09-05 03:36
   78k 2013/10/31 C:\cygwin64\bin\cygotf-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygotf-0.dll" v0.0 ts=2013-10-31 02:30
  319k 2015/06/01 C:\cygwin64\bin\cygp11-kit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygp11-kit-0.dll" v0.0 ts=2015-06-01 19:02
   14k 2017/03/29 C:\cygwin64\bin\cygpanelw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygpanelw-10.dll" v0.0 ts=2017-03-29 23:25
  260k 2017/03/05 C:\cygwin64\bin\cygpango-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpango-1.0-0.dll" v0.0 ts=2017-03-05 08:37
   43k 2017/03/05 C:\cygwin64\bin\cygpangocairo-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangocairo-1.0-0.dll" v0.0 ts=2017-03-05 08:37
   70k 2017/03/05 C:\cygwin64\bin\cygpangoft2-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangoft2-1.0-0.dll" v0.0 ts=2017-03-05 08:37
   28k 2017/03/05 C:\cygwin64\bin\cygpangoxft-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangoxft-1.0-0.dll" v0.0 ts=2017-03-05 08:37
   11k 2013/07/23 C:\cygwin64\bin\cygpaper-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpaper-1.dll" v0.0 ts=2013-07-23 05:40
  475k 2017/03/05 C:\cygwin64\bin\cygpcre-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre-1.dll" v0.0 ts=2017-03-05 03:32
  441k 2017/03/05 C:\cygwin64\bin\cygpcre16-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre16-0.dll" v0.0 ts=2017-03-05 03:32
 2020k 2017/01/15 C:\cygwin64\bin\cygperl5_22.dll - os=4.0 img=0.0 sys=5.2
                  "cygperl5_22.dll" v0.0 ts=2017-01-15 12:12
  361k 2016/11/04 C:\cygwin64\bin\cygphonon4qt5-4.dll - os=4.0 img=4.9 sys=5.2
                  "cygphonon4qt5-4.dll" v0.0 ts=2016-11-04 17:59
   77k 2016/11/04 C:\cygwin64\bin\cygphonon4qt5experimental-4.dll - os=4.0 img=4.9 sys=5.2
                  "cygphonon4qt5experimental-4.dll" v0.0 ts=2016-11-04 18:00
   39k 2015/04/09 C:\cygwin64\bin\cygpipeline-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpipeline-1.dll" v0.0 ts=2015-04-09 21:04
  638k 2016/08/08 C:\cygwin64\bin\cygpixman-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpixman-1-0.dll" v0.0 ts=2016-08-08 02:51
 1000k 2016/04/19 C:\cygwin64\bin\cygplotter-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygplotter-2.dll" v0.0 ts=2016-04-18 09:28
  179k 2017/01/17 C:\cygwin64\bin\cygpng16-16.dll - os=4.0 img=0.0 sys=5.2
                  "cygpng16-16.dll" v0.0 ts=2017-01-17 19:28
   41k 2016/07/13 C:\cygwin64\bin\cygpopt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpopt-0.dll" v0.0 ts=2016-07-13 06:16
  152k 2017/02/10 C:\cygwin64\bin\cygpq-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygpq-5.dll" v0.0 ts=2017-02-10 21:29
   12k 2016/07/28 C:\cygwin64\bin\cygprotocol-cli.dll - os=4.0 img=0.0 sys=5.2
                  "cygprotocol-cli.dll" v0.0 ts=2016-07-28 11:05
   31k 2016/07/28 C:\cygwin64\bin\cygprotocol-http.dll - os=4.0 img=0.0 sys=5.2
                  "cygprotocol-http.dll" v0.0 ts=2016-07-28 11:02
  114k 2016/07/28 C:\cygwin64\bin\cygprotocol-native.dll - os=4.0 img=0.0 sys=5.2
                  "cygprotocol-native.dll" v0.0 ts=2016-07-28 11:02
   28k 2016/07/28 C:\cygwin64\bin\cygprotocol-simple.dll - os=4.0 img=0.0 sys=5.2
                  "cygprotocol-simple.dll" v0.0 ts=2016-07-28 11:02
  119k 2017/03/14 C:\cygwin64\bin\cygproxy-1.dll - os=4.0 img=1.0 sys=5.2
                  "cygproxy-1.dll" v0.0 ts=2017-03-14 16:49
   52k 2017/01/17 C:\cygwin64\bin\cygpsl-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygpsl-5.dll" v0.0 ts=2017-01-17 17:10
    8k 2013/04/30 C:\cygwin64\bin\cygpspell-15.dll - os=4.0 img=0.0 sys=5.2
                  "cygpspell-15.dll" v0.0 ts=2013-04-30 08:12
  362k 2016/06/29 C:\cygwin64\bin\cygpstoedit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpstoedit-0.dll" v0.0 ts=2016-06-29 07:35
  294k 2016/07/28 C:\cygwin64\bin\cygpulse-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpulse-0.dll" v0.0 ts=2016-07-28 11:01
  445k 2016/07/28 C:\cygwin64\bin\cygpulsecommon-9.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpulsecommon-9.0.dll" v0.0 ts=2016-07-28 11:01
  642k 2016/07/28 C:\cygwin64\bin\cygpulsecore-9.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpulsecore-9.0.dll" v0.0 ts=2016-07-28 11:01
   22k 2016/10/31 C:\cygwin64\bin\cygQt5Concurrent-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Concurrent-5.dll" v0.0 ts=2016-10-28 21:03
 4046k 2016/10/31 C:\cygwin64\bin\cygQt5Core-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Core-5.dll" v0.0 ts=2016-10-28 21:02
  486k 2016/10/31 C:\cygwin64\bin\cygQt5DBus-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5DBus-5.dll" v0.0 ts=2016-10-28 21:05
 4363k 2016/10/31 C:\cygwin64\bin\cygQt5Gui-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Gui-5.dll" v0.0 ts=2016-10-30 22:19
 1300k 2016/10/31 C:\cygwin64\bin\cygQt5Network-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Network-5.dll" v0.0 ts=2016-10-28 21:43
  287k 2016/10/31 C:\cygwin64\bin\cygQt5OpenGL-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5OpenGL-5.dll" v0.0 ts=2016-10-28 22:13
  335k 2016/10/31 C:\cygwin64\bin\cygQt5PrintSupport-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5PrintSupport-5.dll" v0.0 ts=2016-10-28 22:12
 3159k 2016/10/30 C:\cygwin64\bin\cygQt5Qml-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Qml-5.dll" v0.0 ts=2016-10-30 06:35
 3296k 2016/10/30 C:\cygwin64\bin\cygQt5Quick-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Quick-5.dll" v0.0 ts=2016-10-30 06:40
  472k 2016/10/30 C:\cygwin64\bin\cygQt5QuickParticles-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5QuickParticles-5.dll" v0.0 ts=2016-10-30 06:42
  102k 2016/10/30 C:\cygwin64\bin\cygQt5QuickTest-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5QuickTest-5.dll" v0.0 ts=2016-10-30 06:41
   52k 2016/10/30 C:\cygwin64\bin\cygQt5QuickWidgets-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5QuickWidgets-5.dll" v0.0 ts=2016-10-30 06:42
 1719k 2016/10/30 C:\cygwin64\bin\cygQt5Script-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Script-5.dll" v0.0 ts=2016-10-30 18:04
  241k 2016/10/31 C:\cygwin64\bin\cygQt5Sql-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Sql-5.dll" v0.0 ts=2016-10-28 21:04
  280k 2016/10/30 C:\cygwin64\bin\cygQt5Svg-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Svg-5.dll" v0.0 ts=2016-10-30 18:00
  151k 2016/10/31 C:\cygwin64\bin\cygQt5Test-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Test-5.dll" v0.0 ts=2016-10-28 22:12
 5552k 2016/10/31 C:\cygwin64\bin\cygQt5Widgets-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Widgets-5.dll" v0.0 ts=2016-10-28 22:11
   13k 2016/10/30 C:\cygwin64\bin\cygQt5X11Extras-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5X11Extras-5.dll" v0.0 ts=2016-10-30 18:12
  866k 2016/10/31 C:\cygwin64\bin\cygQt5XcbQpa-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5XcbQpa-5.dll" v0.0 ts=2016-10-28 22:42
  190k 2016/10/31 C:\cygwin64\bin\cygQt5Xml-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Xml-5.dll" v0.0 ts=2016-10-28 21:03
 3220k 2016/10/30 C:\cygwin64\bin\cygQt5XmlPatterns-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5XmlPatterns-5.dll" v0.0 ts=2016-10-30 06:12
  308k 2016/06/13 C:\cygwin64\bin\cygquadmath-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygquadmath-0.dll" v0.0 ts=2016-06-13 17:17
  219k 2017/02/13 C:\cygwin64\bin\cygreadline7.dll - os=4.0 img=0.0 sys=5.2
                  "cygreadline7.dll" v0.0 ts=2017-02-11 22:39
   72k 2017/03/17 C:\cygwin64\bin\cygrest-0.7-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygrest-0.7-0.dll" v0.0 ts=2017-03-17 08:44
   28k 2017/03/17 C:\cygwin64\bin\cygrest-extras-0.7-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygrest-extras-0.7-0.dll" v0.0 ts=2017-03-17 08:44
  202k 2017/03/05 C:\cygwin64\bin\cygrsvg-2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygrsvg-2-2.dll" v0.0 ts=2017-03-05 20:16
   97k 2014/11/24 C:\cygwin64\bin\cygsasl2-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygsasl2-3.dll" v0.0 ts=2014-11-24 18:22
   11k 2015/07/17 C:\cygwin64\bin\cygsigsegv-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygsigsegv-2.dll" v0.0 ts=2015-07-17 22:35
   28k 2014/01/14 C:\cygwin64\bin\cygSM-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygSM-6.dll" v0.0 ts=2014-01-14 23:23
   89k 2015/03/23 C:\cygwin64\bin\cygsmartcols-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsmartcols-1.dll" v0.0 ts=2015-03-23 09:46
  326k 2016/01/28 C:\cygwin64\bin\cygsndfile-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsndfile-1.dll" v0.0 ts=2016-01-28 18:52
  690k 2017/03/05 C:\cygwin64\bin\cygsoup-2.4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoup-2.4-1.dll" v0.0 ts=2017-03-05 20:35
   11k 2017/03/05 C:\cygwin64\bin\cygsoup-gnome-2.4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoup-gnome-2.4-1.dll" v0.0 ts=2017-03-05 20:35
  178k 2015/12/29 C:\cygwin64\bin\cygsoxr-0.dll - os=4.0 img=0.1 sys=5.2
                  "cygsoxr-0.dll" v0.0 ts=2015-12-29 19:32
   70k 2016/12/27 C:\cygwin64\bin\cygspeexdsp-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygspeexdsp-1.dll" v0.0 ts=2016-12-27 02:41
  982k 2017/01/09 C:\cygwin64\bin\cygsqlite3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsqlite3-0.dll" v0.0 ts=2017-01-09 13:40
  156k 2016/03/02 C:\cygwin64\bin\cygssh2-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygssh2-1.dll" v0.0 ts=2016-03-02 18:03
  399k 2017/01/26 C:\cygwin64\bin\cygssl-1.0.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygssl-1.0.0.dll" v0.0 ts=2017-01-26 20:10
   12k 2016/06/13 C:\cygwin64\bin\cygssp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygssp-0.dll" v0.0 ts=2016-06-13 17:10
 1325k 2016/06/13 C:\cygwin64\bin\cygstdc++-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygstdc++-6.dll" v0.0 ts=2016-06-13 16:38
  359k 2015/03/18 C:\cygwin64\bin\cygsybdb-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygsybdb-5.dll" v0.0 ts=2015-03-18 21:33
  245k 2016/08/23 C:\cygwin64\bin\cygt1-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygt1-5.dll" v0.0 ts=2016-08-23 08:55
   20k 2016/08/23 C:\cygwin64\bin\cygt1x-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygt1x-5.dll" v0.0 ts=2016-08-23 08:55
   66k 2016/08/22 C:\cygwin64\bin\cygtasn1-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtasn1-6.dll" v0.0 ts=2016-08-22 20:11
   65k 2013/06/02 C:\cygwin64\bin\cygtdb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygtdb-1.dll" v0.0 ts=2013-06-02 21:35
   34k 2017/03/05 C:\cygwin64\bin\cygthai-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygthai-0.dll" v0.0 ts=2017-03-05 04:36
   53k 2017/03/29 C:\cygwin64\bin\cygticw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygticw-10.dll" v0.0 ts=2017-03-29 23:25
  420k 2015/10/28 C:\cygwin64\bin\cygtiff-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiff-6.dll" v0.0 ts=2015-10-28 21:37
   11k 2015/10/28 C:\cygwin64\bin\cygtiffxx-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiffxx-6.dll" v0.0 ts=2015-10-28 21:37
  158k 2016/04/14 C:\cygwin64\bin\cygtirpc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygtirpc-1.dll" v0.0 ts=2016-04-14 19:41
  218k 2016/05/10 C:\cygwin64\bin\cygtxc_dxtn.dll - os=4.0 img=0.0 sys=5.2
                  "cygtxc_dxtn.dll" v0.0 ts=2016-05-10 06:31
  368k 2016/11/06 C:\cygwin64\bin\cygUil-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygUil-4.dll" v0.0 ts=2016-11-06 22:28
 1538k 2015/10/16 C:\cygwin64\bin\cygunistring-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygunistring-2.dll" v0.0 ts=2015-10-16 21:40
   73k 2015/02/11 C:\cygwin64\bin\cygusb0.dll - os=4.0 img=0.0 sys=5.2
                  "cygusb0.dll" v0.0 ts=2015-02-11 01:16
   15k 2015/03/23 C:\cygwin64\bin\cyguuid-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyguuid-1.dll" v0.0 ts=2015-03-23 09:46
  109k 2015/03/19 C:\cygwin64\bin\cygvncclient-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvncclient-0.dll" v0.0 ts=2015-03-19 10:38
  266k 2015/03/19 C:\cygwin64\bin\cygvncserver-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvncserver-0.dll" v0.0 ts=2015-03-19 10:37
  347k 2016/08/10 C:\cygwin64\bin\cygvoikko-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygvoikko-1.dll" v0.0 ts=2016-08-10 22:32
  165k 2015/03/08 C:\cygwin64\bin\cygvorbis-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvorbis-0.dll" v0.0 ts=2015-03-08 00:24
  641k 2015/03/08 C:\cygwin64\bin\cygvorbisenc-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygvorbisenc-2.dll" v0.0 ts=2015-03-08 00:24
    8k 2016/06/13 C:\cygwin64\bin\cygvtv-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvtv-0.dll" v0.0 ts=2016-06-13 17:12
    8k 2016/06/13 C:\cygwin64\bin\cygvtv_stubs-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvtv_stubs-0.dll" v0.0 ts=2016-06-13 17:12
  344k 2016/07/08 C:\cygwin64\bin\cygwebp-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebp-5.dll" v0.0 ts=2016-07-08 19:27
  558k 2016/07/28 C:\cygwin64\bin\cygwebrtc_audio_processing-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebrtc_audio_processing-1.dll" v0.0 ts=2016-07-28 09:40
  198k 2015/04/29 C:\cygwin64\bin\cygwin-crashreporter-hooks.dll - os=4.0 img=0.0 sys=5.2
                  "cygwin-crashreporter-hooks.dll" v0.0 ts=1970-01-01 00:00
   30k 2013/11/15 C:\cygwin64\bin\cygwrap-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygwrap-0.dll" v0.0 ts=2013-11-15 19:58
 1128k 2016/10/09 C:\cygwin64\bin\cygX11-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygX11-6.dll" v0.0 ts=2016-10-09 17:45
    9k 2016/10/09 C:\cygwin64\bin\cygX11-xcb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygX11-xcb-1.dll" v0.0 ts=2016-10-09 17:45
   12k 2013/06/06 C:\cygwin64\bin\cygXau-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXau-6.dll" v0.0 ts=2013-06-06 06:23
  375k 2016/01/29 C:\cygwin64\bin\cygXaw-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygXaw-7.dll" v0.0 ts=2016-01-29 20:32
  121k 2016/08/09 C:\cygwin64\bin\cygxcb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-1.dll" v0.0 ts=2016-08-09 21:45
   12k 2016/08/09 C:\cygwin64\bin\cygxcb-composite-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-composite-0.dll" v0.0 ts=2016-08-09 21:45
   40k 2014/08/10 C:\cygwin64\bin\cygxcb-ewmh-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-ewmh-2.dll" v0.0 ts=1970-01-01 00:00
   76k 2016/08/09 C:\cygwin64\bin\cygxcb-glx-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-glx-0.dll" v0.0 ts=2016-08-09 21:45
   17k 2014/08/10 C:\cygwin64\bin\cygxcb-icccm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-icccm-4.dll" v0.0 ts=1970-01-01 00:00
   16k 2013/03/17 C:\cygwin64\bin\cygxcb-image-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-image-0.dll" v0.0 ts=2013-03-17 22:42
   11k 2013/08/01 C:\cygwin64\bin\cygxcb-keysyms-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-keysyms-1.dll" v0.0 ts=2013-08-01 08:15
   46k 2016/08/09 C:\cygwin64\bin\cygxcb-randr-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-randr-0.dll" v0.0 ts=2016-08-09 21:45
   41k 2016/08/09 C:\cygwin64\bin\cygxcb-render-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-render-0.dll" v0.0 ts=2016-08-09 21:45
   14k 2014/08/10 C:\cygwin64\bin\cygxcb-render-util-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-render-util-0.dll" v0.0 ts=1970-01-01 00:00
   13k 2016/08/09 C:\cygwin64\bin\cygxcb-shape-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-shape-0.dll" v0.0 ts=2016-08-09 21:45
   12k 2016/08/09 C:\cygwin64\bin\cygxcb-shm-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-shm-0.dll" v0.0 ts=2016-08-09 21:45
   21k 2016/08/09 C:\cygwin64\bin\cygxcb-sync-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-sync-1.dll" v0.0 ts=2016-08-09 21:45
   17k 2013/03/17 C:\cygwin64\bin\cygxcb-util-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-util-1.dll" v0.0 ts=2013-03-17 22:33
   25k 2016/08/09 C:\cygwin64\bin\cygxcb-xfixes-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-xfixes-0.dll" v0.0 ts=2016-08-09 21:45
   11k 2016/08/09 C:\cygwin64\bin\cygxcb-xinerama-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-xinerama-0.dll" v0.0 ts=2016-08-09 21:45
   88k 2016/08/09 C:\cygwin64\bin\cygxcb-xkb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-xkb-1.dll" v0.0 ts=2016-08-09 21:45
   11k 2013/03/18 C:\cygwin64\bin\cygXcomposite-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXcomposite-1.dll" v0.0 ts=2013-03-18 23:05
   34k 2013/06/06 C:\cygwin64\bin\cygXcursor-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXcursor-1.dll" v0.0 ts=2013-06-06 21:15
   10k 2013/04/08 C:\cygwin64\bin\cygXdamage-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXdamage-1.dll" v0.0 ts=2013-04-08 22:24
   21k 2015/03/26 C:\cygwin64\bin\cygXdmcp-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXdmcp-6.dll" v0.0 ts=2015-03-26 15:38
   56k 2014/08/10 C:\cygwin64\bin\cygXext-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXext-6.dll" v0.0 ts=1970-01-01 00:00
   20k 2016/10/09 C:\cygwin64\bin\cygXfixes-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfixes-3.dll" v0.0 ts=2016-10-09 18:37
  211k 2016/10/09 C:\cygwin64\bin\cygXfont-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfont-1.dll" v0.0 ts=2016-10-09 18:57
  209k 2016/10/09 C:\cygwin64\bin\cygXfont2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfont2-2.dll" v0.0 ts=2016-10-09 19:09
   73k 2014/08/10 C:\cygwin64\bin\cygXft-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXft-2.dll" v0.0 ts=1970-01-01 00:00
   58k 2016/10/26 C:\cygwin64\bin\cygXi-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXi-6.dll" v0.0 ts=2016-10-26 02:38
   10k 2013/06/06 C:\cygwin64\bin\cygXinerama-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXinerama-1.dll" v0.0 ts=2013-06-06 21:33
  234k 2016/11/07 C:\cygwin64\bin\cygxkbcommon-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbcommon-0.dll" v0.0 ts=2016-11-07 02:53
   27k 2016/11/07 C:\cygwin64\bin\cygxkbcommon-x11-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbcommon-x11-0.dll" v0.0 ts=2016-11-07 02:53
  128k 2016/01/29 C:\cygwin64\bin\cygxkbfile-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbfile-1.dll" v0.0 ts=2016-01-29 20:31
 2239k 2016/11/06 C:\cygwin64\bin\cygXm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygXm-4.dll" v0.0 ts=2016-11-06 22:26
  386k 2015/02/12 C:\cygwin64\bin\cygXmHTML-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmHTML-0.dll" v0.0 ts=2015-02-12 15:24
 1214k 2017/03/13 C:\cygwin64\bin\cygxml2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygxml2-2.dll" v0.0 ts=2017-03-13 17:01
   85k 2014/01/15 C:\cygwin64\bin\cygXmu-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmu-6.dll" v0.0 ts=2014-01-15 02:27
   14k 2014/01/15 C:\cygwin64\bin\cygXmuu-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmuu-1.dll" v0.0 ts=2014-01-15 02:27
   61k 2017/01/18 C:\cygwin64\bin\cygXpm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygXpm-4.dll" v0.0 ts=2017-01-18 17:27
   36k 2016/10/09 C:\cygwin64\bin\cygXrandr-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXrandr-2.dll" v0.0 ts=2016-10-09 18:45
   36k 2016/01/29 C:\cygwin64\bin\cygXrender-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXrender-1.dll" v0.0 ts=2016-01-29 20:24
  209k 2016/08/26 C:\cygwin64\bin\cygxslt-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxslt-1.dll" v0.0 ts=2016-08-26 22:10
   12k 2013/03/14 C:\cygwin64\bin\cygXss-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXss-1.dll" v0.0 ts=2013-03-14 04:07
  326k 2016/01/29 C:\cygwin64\bin\cygXt-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXt-6.dll" v0.0 ts=2016-01-29 20:26
   22k 2016/10/09 C:\cygwin64\bin\cygXtst-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXtst-6.dll" v0.0 ts=2016-10-09 18:54
   83k 2017/03/03 C:\cygwin64\bin\cygz.dll - os=4.0 img=0.0 sys=5.2
                  "cygz.dll" v0.0 ts=2017-03-03 21:42
   61k 2015/03/26 C:\cygwin64\bin\cygzip-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygzip-2.dll" v0.0 ts=2015-03-26 20:04
 3238k 2017/04/01 C:\cygwin64\bin\cygwin1.dll - os=4.0 img=0.0 sys=5.2
                  "cygwin1.dll" v0.0 ts=2017-04-01 19:47
    Cygwin DLL version info:
        DLL version: 2.8.0
        DLL epoch: 19
        DLL old termios: 5
        DLL malloc env: 28
        Cygwin conv: 181
        API major: 0
        API minor: 309
        Shared data: 5
        DLL identifier: cygwin1
        Mount registry: 3
        Cygwin registry name: Cygwin
        Installations name: Installations
        Cygdrive default prefix: 
        Build date: 
        Shared id: cygwin1S5


No Cygwin services found.


Cygwin Package Information
Last downloaded files from: http://cygwin.mirror.constant.com/

Package                                    Version                      Status
_autorebase                                001005-1                     OK
adwaita-icon-theme                         3.22.0-1                     OK
adwaita-themes                             3.22.2-1                     OK
alternatives                               1.3.30c-10                   OK
at-spi2-core                               2.22.1-1                     OK
base-cygwin                                3.8-1                        OK
base-files                                 4.2-4                        OK
bash                                       4.4.12-3                     OK
binutils                                   2.25-4                       OK
bison                                      3.0.4-1                      OK
bitstream-vera-fonts                       1.10-2                       OK
bzip2                                      1.0.6-3                      OK
ca-certificates                            2.11-1                       OK
coreutils                                  8.26-2                       OK
crypt                                      1.4-1                        OK
csih                                       0.9.9-1                      OK
cygrunsrv                                  1.62-1                       OK
cygutils                                   1.4.15-2                     OK
cygutils-x11                               1.4.15-2                     OK
cygwin                                     2.8.0-1                      OK
cygwin-debuginfo                           2.8.0-1                      OK
cygwin-devel                               2.8.0-1                      OK
cygwin-doc                                 2.8.0-1                      OK
damageproto                                1.2.1-1                      OK
dash                                       0.5.9.1-1                    OK
dbus                                       1.10.12-1                    OK
dbus-x11                                   1.10.12-1                    OK
dconf-service                              0.26.0-1                     OK
dejavu-fonts                               2.37-1                       OK
desktop-file-utils                         0.23-1                       OK
diffutils                                  3.5-2                        OK
dri-drivers                                17.0.3-1                     OK
editrights                                 1.03-1                       OK
emacs                                      25.2-0.1                     OK
emacs-X11                                  25.2-0.1                     OK
file                                       5.25-1                       OK
findutils                                  4.6.0-1                      OK
fixesproto                                 5.0-1                        OK
flex                                       2.6.3-1                      OK
Empty package font-adobe-dpi75
font-adobe-dpi75                           7.5-3                        OK
Empty package font-bitstream-vera-ttf
font-bitstream-vera-ttf                    1.10-2                       OK
fontsproto                                 2.1.3-1                      OK
fvwm                                       2.6.6-1                      OK
gambas3-gb-desktop-x11                     3.9.2-1                      OK
gamin                                      0.1.10-15                    OK
gawk                                       4.1.4-3                      OK
gcc-core                                   5.4.0-1                      OK
gcc-g++                                    5.4.0-1                      OK
gconf-desktop-schemas                      2.32.1-3                     OK
GConf2                                     3.2.6-2                      OK
gdk-pixbuf2.0-svg                          2.40.16-1                    OK
getent                                     2.18.90-4                    OK
glib2.0-networking                         2.50.0-1                     OK
gnome-menus                                3.13.3-3                     OK
gnupg                                      1.4.21-1                     OK
grep                                       3.0-2                        OK
groff                                      1.22.3-1                     OK
groff-X11                                  1.22.3-1                     OK
gsettings-desktop-schemas                  3.22.0-1                     OK
gtk-update-icon-cache                      3.22.10-1                    OK
gtk2.0-engines-pixmap                      2.24.31-1                    OK
gvim                                       8.0.0542-1                   OK
gzip                                       1.8-1                        OK
hicolor-icon-theme                         0.15-1                       OK
hostname                                   3.13-1                       OK
info                                       6.3-1                        OK
inputproto                                 2.3.1-1                      OK
ipc-utils                                  1.0-2                        OK
kbproto                                    1.0.7-1                      OK
keychain                                   2.7.1-1                      OK
konsole                                    16.08.3-1                    OK
konsole5-part                              16.08.3-1                    OK
less                                       487-1                        OK
libanthy-common                            9100h-2                      OK
libanthy0                                  9100h-2                      OK
libargp                                    20110921-3                   OK
libaspell15                                0.60.6.1-1                   OK
libasyncns0                                0.8-1                        OK
libatk-bridge2.0_0                         2.22.0-1                     OK
libatk1.0_0                                2.22.0-1                     OK
libatomic1                                 5.4.0-1                      OK
libatspi0                                  2.22.1-1                     OK
libattr1                                   2.4.46-1                     OK
libautotrace3                              0.31.1-17                    OK
libavahi-client3                           0.6.32-1                     OK
libavahi-common3                           0.6.32-1                     OK
libblkid1                                  2.25.2-2                     OK
libbz2_1                                   1.0.6-3                      OK
libcairo2                                  1.14.8-1                     OK
libcatgets1                                1.1-2                        OK
libcom_err2                                1.42.12-2                    OK
libcroco0.6_3                              0.6.11-1                     OK
libcrypt0                                  1.4-1                        OK
libcurl4                                   7.52.1-1                     OK
libdatrie1                                 0.2.8-1                      OK
libdb5.3                                   5.3.28-1                     OK
libdbus-glib_1_2                           0.108-1                      OK
libdbus1_3                                 1.10.12-1                    OK
libdbusmenu-qt5_2                          0.9.3-0.2.20150604bzr        OK
libdmx1                                    1.1.3-1                      OK
libedit0                                   20130712-1                   OK
libEGL1                                    17.0.3-1                     OK
libEMF1                                    1.0.8-1                      OK
libepoxy0                                  1.3.1-1                      OK
libexpat-devel                             2.2.0-0                      OK
libexpat1                                  2.2.0-0                      OK
libfam0                                    0.1.10-15                    OK
libffi6                                    3.2.1-2                      OK
libfftw3_3                                 3.3.6-pl1-1                  OK
libFLAC8                                   1.3.2-1                      OK
libfontconfig-common                       2.12.1-1                     OK
libfontconfig-devel                        2.12.1-1                     OK
libfontconfig1                             2.12.1-1                     OK
libfontenc1                                1.1.3-1                      OK
libfpx1                                    1.3.1.4-1                    OK
libfreetype-devel                          2.6.5-1                      OK
libfreetype6                               2.6.5-1                      OK
libfribidi0                                0.19.7-1                     OK
libgc1                                     7.2d-2                       OK
libgcc1                                    5.4.0-1                      OK
libgconf2_4                                3.2.6-2                      OK
libgcrypt20                                1.6.6-1                      OK
libgd3                                     2.2.4-1                      OK
libgdbm4                                   1.12-1                       OK
libgdk_pixbuf2.0_0                         2.36.5-1                     OK
libgif4                                    4.1.6-12                     OK
libGL-devel                                17.0.3-1                     OK
libGL1                                     17.0.3-1                     OK
libglapi0                                  17.0.3-1                     OK
libglib2.0_0                               2.50.3-1                     OK
libgmp10                                   6.1.2-1                      OK
libgnome-menu3_0                           3.13.3-3                     OK
libgnutls28                                3.3.17-1                     OK
libgomp1                                   5.4.0-1                      OK
libgpg-error0                              1.24-1                       OK
libgpgme11                                 1.4.4-1                      OK
libgraphite2_3                             1.3.8-1                      OK
libgs9                                     9.19-1                       OK
libgsm1                                    1.0.13-3                     OK
libgssapi_krb5_2                           1.14.4-1                     OK
libgtk2.0_0                                2.24.31-1                    OK
libgtk3_0                                  3.22.10-1                    OK
libguile17                                 1.8.8-3                      OK
libguile2.0_22                             2.0.14-1                     OK
libharfbuzz0                               1.3.4-1                      OK
libhogweed2                                2.7.1-1                      OK
libhunspell1.3_0                           1.3.3-1                      OK
libhunspell1.4_0                           1.4.1-1                      OK
libICE-devel                               1.0.9-1                      OK
libICE6                                    1.0.9-1                      OK
libiconv                                   1.14-3                       OK
libiconv2                                  1.14-3                       OK
libicu57                                   57.1-1                       OK
libicu58                                   58.2-1                       OK
libidn11                                   1.29-1                       OK
libidn2_0                                  0.11-1                       OK
libintl8                                   0.19.8.1-2                   OK
libiodbc2                                  3.52.8-2                     OK
libisl13                                   0.14.1-1                     OK
libjasper1                                 1.900.22-1                   OK
libjbig2                                   2.0-14                       OK
libjpeg8                                   1.5.0-1                      OK
libjson-c-common                           0.12-1                       OK
libjson-c2                                 0.12-1                       OK
libjson-glib1.0_0                          1.2.6-1                      OK
libk5crypto3                               1.14.4-1                     OK
libKF5Archive5                             5.27.0-1                     OK
libKF5Attica5                              5.27.0-1                     OK
libKF5Auth5                                5.27.0-1                     OK
libKF5Bookmarks5                           5.27.0-1                     OK
libKF5Codecs5                              5.27.0-1                     OK
libKF5Completion5                          5.27.0-1                     OK
libKF5Config5                              5.27.0-1                     OK
libKF5ConfigWidgets5                       5.27.0-1                     OK
libKF5CoreAddons5                          5.27.0-1                     OK
libKF5Crash5                               5.27.0-1                     OK
libKF5DBusAddons5                          5.27.0-1                     OK
libKF5GlobalAccel5                         5.27.0-1                     OK
libKF5Gpgmepp5                             16.08.3-1                    OK
libKF5GuiAddons5                           5.27.0-1                     OK
libKF5I18n5                                5.27.0-1                     OK
libKF5IconThemes5                          5.27.0-1                     OK
libKF5ItemViews5                           5.27.0-1                     OK
libKF5JobWidgets5                          5.27.0-1                     OK
libKF5KDELibs4Support5                     5.27.0-1                     OK
libKF5KIO5                                 5.27.0-1                     OK
libKF5Notifications5                       5.27.0-1                     OK
libKF5NotifyConfig5                        5.27.0-1                     OK
libKF5Parts5                               5.27.0-1                     OK
libKF5Pty5                                 5.27.0-1                     OK
libKF5Service5                             5.27.0-1                     OK
libKF5Solid5                               5.27.0-1                     OK
libKF5Sonnet5                              5.27.0-1                     OK
libKF5TextWidgets5                         5.27.0-1                     OK
libKF5Wallet5                              5.27.0-1                     OK
libKF5WidgetsAddons5                       5.27.0-1                     OK
libKF5WindowSystem5                        5.27.0-1                     OK
libKF5XmlGui5                              5.27.0-1                     OK
libkrb5_3                                  1.14.4-1                     OK
libkrb5support0                            1.14.4-1                     OK
liblcms2_2                                 2.8-1                        OK
libllvm3.8                                 3.8.1-1                      OK
libllvm3.9                                 3.9.1-1                      OK
libltdl7                                   2.4.6-4                      OK
liblzma5                                   5.2.2-1                      OK
liblzo2_2                                  2.08-1                       OK
libm17n0                                   1.7.0-1                      OK
libMagickC++6_6                            6.9.5.7-2                    OK
libMagickCore6_2                           6.9.5.7-2                    OK
libMagickWand6_2                           6.9.5.7-2                    OK
libmcpp0                                   2.7.2-2                      OK
libming1                                   0.4.7-2                      OK
libmpc3                                    1.0.3-1                      OK
libmpfr4                                   3.1.5-1p2                    OK
libmysqlclient18                           10.1.19-1                    OK
libncursesw10                              6.0-10.20170325              OK
libnettle4                                 2.7.1-1                      OK
libnghttp2_14                              1.14.0-2                     OK
libogg0                                    1.3.1-1                      OK
libopenldap2_4_2                           2.4.42-1                     OK
libopenssl100                              1.0.2k-1                     OK
liborc0.4_0                                0.4.26-1                     OK
libotf0                                    0.9.13-1                     OK
libp11-kit0                                0.22.1-1                     OK
libpango1.0_0                              1.40.4-1                     OK
libpaper-common                            1.1.24-2                     OK
libpaper1                                  1.1.24-2                     OK
libpcre1                                   8.40-2                       OK
libpcre16_0                                8.40-2                       OK
libphonon4qt5_4                            4.9.0-1                      OK
libpipeline1                               1.4.0-1                      OK
libpixman1-devel                           0.34.0-1                     OK
libpixman1_0                               0.34.0-1                     OK
libplotter2                                2.6-5                        OK
libpng-devel                               1.6.28-1                     OK
libpng16                                   1.6.28-1                     OK
libpng16-devel                             1.6.28-1                     OK
libpopt-common                             1.16-2                       OK
libpopt0                                   1.16-2                       OK
libpq5                                     9.6.2-1                      OK
libproxy1                                  0.4.14-1                     OK
libpsl5                                    0.17.0-1                     OK
libpstoedit0                               3.70-2                       OK
libpulse0                                  9.0-1                        OK
libQt5Core-devel                           5.6.2-1                      OK
libQt5Core5                                5.6.2-1                      OK
libQt5Gui-devel                            5.6.2-1                      OK
libQt5Gui5                                 5.6.2-1                      OK
libQt5Quick5                               5.6.2-1                      OK
libQt5Script5                              5.6.2-1                      OK
libQt5Sql5                                 5.6.2-1                      OK
libQt5Svg5                                 5.6.2-1                      OK
libQt5X11Extras-devel                      5.6.2-1                      OK
libQt5X11Extras5                           5.6.2-1                      OK
libQt5XmlPatterns5                         5.6.2-1                      OK
libquadmath0                               5.4.0-1                      OK
libreadline7                               7.0.3-3                      OK
librest0.7_0                               0.8.0-1                      OK
librsvg2_2                                 2.40.16-1                    OK
libsasl2_3                                 2.1.26-9                     OK
libsigsegv2                                2.10-2                       OK
libSM-devel                                1.2.2-1                      OK
libSM6                                     1.2.2-1                      OK
libsmartcols1                              2.25.2-2                     OK
libsndfile1                                1.0.25-4                     OK
libsoup-gnome2.4_1                         2.56.0-1                     OK
libsoup2.4_1                               2.56.0-1                     OK
libsoxr0                                   0.1.2-1                      OK
libspeexdsp1                               1.2-0.1.rc3                  OK
libsqlite3_0                               3.16.2-1                     OK
libssh2_1                                  1.7.0-1                      OK
libssp0                                    5.4.0-1                      OK
libstdc++6                                 5.4.0-1                      OK
libsybdb5                                  0.91.112-1                   OK
libtasn1_6                                 4.9-1                        OK
libtdb1                                    1.2.11-2                     OK
libthai0                                   0.1.26-1                     OK
libtiff6                                   4.0.6-1                      OK
libtirpc-common                            0.3.2-2                      OK
libtirpc-devel                             0.3.2-2                      OK
libtirpc1                                  0.3.2-2                      OK
libtxc_dxtn                                1.0-1.20151227gitf6ec862     OK
libunistring2                              0.9.6-1                      OK
libusb0                                    1.2.6.0-2                    OK
libuuid-devel                              2.25.2-2                     OK
libuuid1                                   2.25.2-2                     OK
libvncserver0                              0.9.10-2                     OK
libvoikko1                                 3.8-1                        OK
libvorbis                                  1.3.5-1                      OK
libvorbis0                                 1.3.5-1                      OK
libvorbisenc2                              1.3.5-1                      OK
libvtv0                                    5.4.0-1                      OK
libwebp5                                   0.4.4-1                      OK
libwebrtc-audio-processing1                0.3-1                        OK
libwrap0                                   7.6-22                       OK
libX11-debuginfo                           1.6.4-1                      OK
libX11-devel                               1.6.4-1                      OK
libX11-doc                                 1.6.4-1                      OK
libX11-xcb-devel                           1.6.4-1                      OK
libX11-xcb1                                1.6.4-1                      OK
libX11_6                                   1.6.4-1                      OK
libXau-devel                               1.0.8-1                      OK
libXau6                                    1.0.8-1                      OK
libXaw-devel                               1.0.13-1                     OK
libXaw7                                    1.0.13-1                     OK
libxcb-composite0                          1.12-1                       OK
libxcb-devel                               1.12-1                       OK
libxcb-ewmh2                               0.4.1-1                      OK
libxcb-glx-devel                           1.12-1                       OK
libxcb-glx0                                1.12-1                       OK
libxcb-icccm4                              0.4.1-1                      OK
libxcb-image0                              0.3.9-1                      OK
libxcb-keysyms1                            0.3.9-1                      OK
libxcb-randr0                              1.12-1                       OK
libxcb-render-util0                        0.3.9-1                      OK
libxcb-render0                             1.12-1                       OK
libxcb-shape0                              1.12-1                       OK
libxcb-shm0                                1.12-1                       OK
libxcb-sync1                               1.12-1                       OK
libxcb-util1                               0.3.9-1                      OK
libxcb-xfixes0                             1.12-1                       OK
libxcb-xinerama0                           1.12-1                       OK
libxcb-xkb1                                1.12-1                       OK
libxcb1                                    1.12-1                       OK
libXcomposite1                             0.4.3-1                      OK
libXcursor1                                1.1.14-1                     OK
libXdamage-devel                           1.1.4-1                      OK
libXdamage1                                1.1.4-1                      OK
libXdmcp-devel                             1.1.2-1                      OK
libXdmcp6                                  1.1.2-1                      OK
libXext-devel                              1.3.3-1                      OK
libXext6                                   1.3.3-1                      OK
libXfixes-devel                            5.0.3-1                      OK
libXfixes3                                 5.0.3-1                      OK
libXfont1                                  1.5.2-1                      OK
libXfont2_2                                2.0.1-1                      OK
libXft-devel                               2.3.2-1                      OK
libXft2                                    2.3.2-1                      OK
libXi6                                     1.7.8-1                      OK
libXinerama1                               1.1.3-1                      OK
libxkbcommon0                              0.6.1-1                      OK
libxkbfile1                                1.0.9-1                      OK
libXm-devel                                2.3.6-1                      OK
libXm4                                     2.3.6-1                      OK
libXmHTML0                                 1.1.7-13                     OK
libxml2                                    2.9.4-2                      OK
libXmu-devel                               1.1.2-1                      OK
libXmu6                                    1.1.2-1                      OK
libXmuu1                                   1.1.2-1                      OK
libXpm-devel                               3.5.12-1                     OK
libXpm4                                    3.5.12-1                     OK
libXrandr2                                 1.5.1-1                      OK
libXrender-devel                           0.9.9-1                      OK
libXrender1                                0.9.9-1                      OK
libxslt                                    1.1.29-1                     OK
libXss1                                    1.2.2-1                      OK
libXt-devel                                1.1.5-1                      OK
libXt6                                     1.1.5-1                      OK
libXtst6                                   1.2.3-1                      OK
libzip2                                    0.11.2-2                     OK
login                                      1.11-1                       OK
luit                                       20130217-1                   OK
m17n-db                                    1.7.0-2                      OK
m4                                         1.4.18-1                     OK
make                                       4.2.1-2                      OK
man-db                                     2.7.5-2                      OK
mcpp                                       2.7.2-2                      OK
mintty                                     2.7.6-0                      OK
motif                                      2.3.6-1                      OK
motif-debuginfo                            2.3.6-1                      OK
mysql-common                               10.1.19-1                    OK
ncurses                                    6.0-10.20170325              OK
openssh                                    7.5p1-1                      OK
openssl                                    1.0.2k-1                     OK
p11-kit                                    0.22.1-1                     OK
p11-kit-trust                              0.22.1-1                     OK
perl                                       5.22.3-1                     OK
perl-Encode-Locale                         1.05-1                       OK
perl-File-Listing                          6.04-5                       OK
perl-HTML-Parser                           3.72-1                       OK
perl-HTML-Tagset                           3.20-5                       OK
perl-HTTP-Cookies                          6.03-1                       OK
perl-HTTP-Daemon                           6.01-5                       OK
perl-HTTP-Date                             6.02-5                       OK
perl-HTTP-Message                          6.11-1                       OK
perl-HTTP-Negotiate                        6.01-5                       OK
perl-IO-HTML                               1.001-2                      OK
perl-libwww-perl                           6.26-1                       OK
perl-LWP-MediaTypes                        6.02-5                       OK
perl-Net-HTTP                              6.13-1                       OK
perl-Pod-Simple                            3.35-1                       OK
perl-Socket                                2.024-2                      OK
perl-Tk                                    804.033-1                    OK
perl-Tk-Pod                                0.9942-1                     OK
perl-Try-Tiny                              0.28-1                       OK
perl-URI                                   1.71-1                       OK
perl-WWW-RobotRules                        6.02-5                       OK
perl-XML-Parser                            2.44-2                       OK
perl_autorebase                            5.22.3-1                     OK
perl_base                                  5.22.3-1                     OK
pkg-config                                 0.29.1-1                     OK
presentproto                               1.0-1                        OK
publicsuffix-list-dafsa                    20170206-1                   OK
pulseaudio                                 9.0-1                        OK
pulseaudio-module-x11                      9.0-1                        OK
pulseaudio-utils                           9.0-1                        OK
Empty package python
python                                     2.7.13-1                     OK
python-xdg                                 0.25-3                       OK
python2                                    2.7.13-1                     OK
qt5-linguist-tools                         5.6.2-1                      OK
qt5-x11extras-debuginfo                    5.6.2-1                      OK
qt5-x11extras-doc                          5.6.2-1                      OK
randrproto                                 1.5.0-1                      OK
rebase                                     4.4.2-1                      OK
renderproto                                0.11.1-1                     OK
resourceproto                              1.2.0-1                      OK
rgb                                        1.0.5-1                      OK
run                                        1.3.4-2                      OK
scrnsaverproto                             1.2.2-2                      OK
sed                                        4.4-1                        OK
setxkbmap                                  1.3.1-1                      OK
shared-mime-info                           1.7-1                        OK
speexdsp                                   1.2-0.1.rc3                  OK
suomi-malaga                               1.19-1                       OK
t1lib-x11                                  5.1.2-13                     OK
t1lib5                                     5.1.2-13                     OK
t1libx5                                    5.1.2-13                     OK
tar                                        1.29-1                       OK
tcl                                        8.6.6-1                      OK
tcl-tk                                     8.6.6-1                      OK
tcsh                                       6.20.00-1                    OK
terminfo                                   6.0-10.20170325              OK
terminfo-extra                             6.0-10.20170325              OK
tzcode                                     2016j-1                      OK
tzdata                                     2017b-1                      OK
util-linux                                 2.25.2-2                     OK
vim-common                                 8.0.0542-1                   OK
vim-minimal                                8.0.0542-1                   OK
w32api-headers                             5.0.2-1                      OK
w32api-runtime                             5.0.2-1                      OK
which                                      2.20-2                       OK
windows-default-manifest                   6.4-1                        OK
x11perf                                    1.6.0-1                      OK
x11perf-debuginfo                          1.6.0-1                      OK
x11vnc                                     0.9.14-1                     OK
x11vnc-debuginfo                           0.9.14-1                     OK
xauth                                      1.0.9-1                      OK
xbitmaps                                   1.1.1-1                      OK
xclipboard                                 1.1.3-1                      OK
xcursor-themes                             1.0.4-1                      OK
xdg-user-dirs                              0.15-1                       OK
xextproto                                  7.3.0-1                      OK
xf86-video-dummy                           0.3.8-1                      OK
xf86-video-nested                          0.1.0-8.20160719git          OK
xf86bigfontproto                           1.2.0-1                      OK
xineramaproto                              1.2.1-1                      OK
xinit                                      1.3.4-14                     OK
xinit-debuginfo                            1.3.4-14                     OK
xkbcomp                                    1.3.1-1                      OK
xkeyboard-config                           2.19-1                       OK
xlaunch                                    20160530-1                   OK
xlaunch-debuginfo                          20160530-1                   OK
xmodmap                                    1.0.9-1                      OK
xorg-cf-files                              1.0.6-1                      OK
xorg-docs                                  1.7.1-1                      OK
xorg-scripts                               1.0.1-1                      OK
xorg-server                                1.19.2-1                     OK
xorg-server-common                         1.19.2-1                     OK
xorg-server-cygwin-crashreporter           20150429-1                   OK
xorg-server-cygwin-crashreporter-debuginfo 20150429-1                   OK
xorg-server-debuginfo                      1.19.2-1                     OK
xorg-server-devel                          1.19.2-1                     OK
xorg-server-dmx                            1.19.2-1                     OK
xorg-server-extra                          1.19.2-1                     OK
xorg-sgml-doctools                         1.11-1                       OK
xorg-util-macros                           1.19.0-1                     OK
xorg-x11-fonts-cyrillic                    7.5-3                        OK
xorg-x11-fonts-dpi100                      7.5-3                        OK
xorg-x11-fonts-dpi75                       7.5-3                        OK
xorg-x11-fonts-ethiopic                    7.5-3                        OK
xorg-x11-fonts-misc                        7.5-3                        OK
xorg-x11-fonts-Type1                       7.5-3                        OK
xproto                                     7.0.31-1                     OK
xrdb                                       1.1.0-1                      OK
xrdb-debuginfo                             1.1.0-1                      OK
xset                                       1.2.3-1                      OK
xsetroot                                   1.1.0-1                      OK
xterm                                      327-1                        OK
xwin-xdg-menu                              20170321-1                   OK
xwininfo                                   1.1.3-1                      OK
xxd                                        8.0.0542-1                   OK
xz                                         5.2.2-1                      OK
zlib-devel                                 1.2.11-1                     OK
zlib0                                      1.2.11-1                     OK
Use -h to see help about each section

[-- Attachment #3: vlex.l --]
[-- Type: text/plain, Size: 21603 bytes --]

%{
#include <stdio.h>
#include <malloc.h>
#undef YY_INPUT

#ifndef YYWRAP
#	define YYWRAP
int yywrap()	{return 1;}
#endif


extern int PortType;
extern int InitVal;
extern int EdgeSymbol;
extern int CSSymbol;
extern int useUpperCase;

#define YY_INPUT(buf,result,max_size) \
	{ \
	int c = getChar(); \
	result = (c == 0) ? YY_NULL : (buf[0] = c, 1); \
	}

#include "BeIf.h"
#include "vsyn.h"
#include "veriext.h"

typedef struct StateData	{
	int State;
	struct StateData *Prev,*Next;
	}StateData;

static StateData *StateList=NULL,*LastState=NULL;
void PushState(int State);
int PopState();
static int MultiLineComment=0;
static int CurrentState=INITIAL;
void ChangeToState(int NewState);
int GetCurrentState();
void BeginState(char *NewState);



void LexFreeBuffer()
{
  if (yy_current_buffer) {
    yy_delete_buffer(yy_current_buffer);
  }
  yy_current_buffer = 0;
}

%}

%s MCOMMENT
%s SCOMMENT
%s IN_STRING
%s SPECIFY_BLOCK
%s CS_TABLE
%s CONSTANT_ONLY
%s INITIALU
%s SPECIFY_BLOCKU
%s IN_HIER


NL			\n
WS			[ \t\r\b\f]
Digit			[0-9]
DigitU			[0-9_]
Letter			[a-zA-Z]
LetterU			[a-zA-Z_]
WordNum			[0-9a-zA-Z]
WordNumU		[0-9a-zA-Z_]
DWordNumU		[$0-9a-zA-Z_]
WSs			{WS}+
Number			{Digit}{DigitU}*
Word			{LetterU}{WordNumU}*
DWord			{LetterU}{DWordNumU}*
Binary			({Number})?[ \t]*'[bB][ \t]*[01xXzZ?][01xXzZ?_]*
Octal			({Number})?[ \t]*'[oO][ \t]*[0-7xXzZ?][0-7xXzZ?_]*
Decimal			({Number})?[ \t]*'[dD][ \t]*{Number}
Hexdecimal		({Number})?[ \t]*'[hH][ \t]*[0-9a-fA-FxXzZ?][0-9a-fA-FxXzZ?_]*
Simpreal		{Number}[.]({Number})?
Real0			{Number}[eE][+-]?{Number}
Real1			{Simpreal}[eE][+-]?{Simpreal}
Real2			{Number}[eE][+-]?{Simpreal}
Real3			{Simpreal}[eE][+-]?{Number}
QoutedString		\"[^"\n]*["{NL}]
Comment			"//"[^\n]*{NL}



%%

<INITIALU,INITIAL,CS_TABLE,SPECIFY_BLOCK>{NL}           {  }
<INITIALU,INITIAL,CS_TABLE,SPECIFY_BLOCK>{WSs}		{  }
<INITIALU,INITIAL,CS_TABLE>"//"      { 
					PushState(GetCurrentState());
					ChangeToState(SCOMMENT); 
					}
<INITIALU,INITIAL,CS_TABLE>"/*"           { 
					PushState(GetCurrentState());
					MultiLineComment++;
					ChangeToState(MCOMMENT);  
					}


	/*
	 * Symbols.
	 */


	/* Generic. */
<INITIALU,INITIAL>"#"	{ 
  ChangeToState(CONSTANT_ONLY); return '#';
}

<INITIALU,INITIAL>"@"	{
  return '@';
}

	/* Unary. */
<INITIALU,INITIAL>"+"  { 
  yylval.num = BEIF_EXPR_NODE_TYPE_UPLUS; return '+';
}
<INITIALU,INITIAL>"-"  { 
  yylval.num = BEIF_EXPR_NODE_TYPE_UMINUS; return '-';
}
<INITIALU,INITIAL>"!"  { 
  yylval.num = BEIF_EXPR_NODE_TYPE_ULNOT; return '!';
}
<INITIALU,INITIAL>"~"  { 
  yylval.num = BEIF_EXPR_NODE_TYPE_UBNOT; return '~';
}
<INITIALU,INITIAL>"&"  {
  yylval.num = BEIF_EXPR_NODE_TYPE_URAND; return '&';
}
<INITIALU,INITIAL>"~&" {
  yylval.num = BEIF_EXPR_NODE_TYPE_UBNOT; return TILDEAMPER;
}
<INITIALU,INITIAL>"|"  {
  yylval.num = BEIF_EXPR_NODE_TYPE_UOR; return '|';
}
<INITIALU,INITIAL>"~|" {
  yylval.num = BEIF_EXPR_NODE_TYPE_URNAND; return TILDEVERTBAR;
}
<INITIALU,INITIAL>"^"  {
  yylval.num = BEIF_EXPR_NODE_TYPE_URXOR; return '^';
}
<INITIALU,INITIAL>"~^" {
  yylval.num = BEIF_EXPR_NODE_TYPE_UBEQU1; return TILDECARET;
}
<INITIALU,INITIAL>"^~" {
  yylval.num = BEIF_EXPR_NODE_TYPE_UBEQU2; return CARETTILDE;
}

	/* Binary. */
<INITIALU,INITIAL>"*"    {
  return '*';
}
<INITIALU,INITIAL>"/"    {
  return '/';
}
<INITIALU,INITIAL>"%"    {
  return '%';
}
<INITIALU,INITIAL>"=="   {
  return EQUALEQUAL;
}
<INITIALU,INITIAL>"!="   {
  return EXCLAMEQUAL;
}
<INITIALU,INITIAL>"==="  {
  return EQUALEQUALEQUAL;
}
<INITIALU,INITIAL>"!==" {
  return EXCLAMEQUALEQUAL;
}
<INITIALU,INITIAL>"&&" {
  return AMPERAMPER;
}
<INITIALU,INITIAL>"||" {
  return VERTBARVERTBAR;
}
<INITIALU,INITIAL>"<"  {
  return '<';
}
<INITIALU,INITIAL>"<=" {
  return LESSEQUAL;
}
<INITIALU,INITIAL>">"  {
  return '>';
}
<INITIALU,INITIAL>">=" {
  return GREATEREQUAL;
}
<INITIALU,INITIAL>">>" {
  return GREATERGREATER;
}
<INITIALU,INITIAL>"<<" {
  return LESSLESS;
}
<INITIALU,INITIAL>"->" {
  return TRIGGER;
}
<INITIALU,INITIAL,CONSTANT_ONLY>"(" {
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return '(';
}
	/* Ternary. */
<INITIALU,INITIAL>"?"	{
  return '?';
}
<INITIALU,INITIAL>":"	{
  return ':';
}


	/*
	 * Keywords.
	 */


<INITIAL>always |
<INITIALU>ALWAYS        { return ALWAYSKW; }
<INITIAL>and |
<INITIALU>AND           { return ANDKW; }
<INITIAL>assign |
<INITIALU>ASSIGN        { return ASSIGNKW; }
<INITIAL>begin |
<INITIALU>BEGIN         { return BEGINKW; }
<INITIAL>buf  |
<INITIALU>BUF           { return BUFKW; }
<INITIAL>bufif0 |
<INITIALU>BUFIF0        { return BUFIF0KW; }
<INITIAL>bufif1 |
<INITIALU>BUFIF1        { return BUFIF1KW; }
<INITIAL>case |
<INITIALU>CASE          { return CASEKW; }
<INITIAL>casex |
<INITIALU>CASEX         { return CASEXKW; }
<INITIAL>casez |
<INITIALU>CASEZ         { return CASEZKW; }
<INITIAL>cmos |
<INITIALU>CMOS          { return CMOSKW; }
<INITIAL>deassign |
<INITIALU>DEASSIGN      { return DEASSIGNKW; }
<INITIAL>default |
<INITIALU>DEFAULT       { return DEFAULTKW; }
<INITIAL>defparam |
<INITIALU>DEFPARAM      { return DEFPARMKW; }
<INITIAL>disable |
<INITIALU>DISABLE       { return DISABLEKW; }
<INITIAL>edge |
<INITIALU>EDGE          { return EDGEKW; }
<INITIAL>else |
<INITIALU>ELSE          { return ELSEKW; }
<INITIAL>end |
<INITIALU>END           { return ENDKW; }
<INITIAL>endcase |
<INITIALU>ENDCASE       { return ENDCASEKW; }
<INITIAL>endfunction |
<INITIALU>ENDFUNCTION   { return ENDFUNCKW; }
<INITIAL>endmodule |
<INITIALU>ENDMODULE     { return ENDMODKW; }
<INITIAL>endprimitive |
<INITIALU>ENDPRIMITIVE  { return ENDPRIMKW; }
<INITIAL>endspecify |
<INITIALU>ENDSPECIFY    { return ENDSPECIFYKW; }
<INITIAL,CS_TABLE>endtable |
<INITIALU,CS_TABLE>ENDTABLE      { return ENDTABLEKW; }
<INITIAL>endtask |
<INITIALU>ENDTASK       { return ENDTASKKW; }
<INITIAL>event |
<INITIALU>EVENT         { yylval.num = BEIF_VAR_TYPE_EVENT; return EVENTKW; }
<INITIAL>for |
<INITIALU>FOR           { return FORKW; }
<INITIAL>force |
<INITIALU>FORCE         { return FORCEKW; }
<INITIAL>forever |
<INITIALU>FOREVER       { return FOREVERKW; }
<INITIAL>fork |
<INITIALU>FORK          { return FORKKW; }
<INITIAL>function |
<INITIALU>FUNCTION      { return FUNCKW; }
<INITIAL>highz0 |
<INITIALU>HIGHZ0        { return HIGHZ0; }
<INITIAL>highz1 |
<INITIALU>HIGHZ1        { return HIGHZ1; }
<INITIAL>if |
<INITIALU>IF            { return IFKW; }
<INITIAL>ifnone |
<INITIALU>IFNONE        { return IFNONEKW; }
<INITIAL>initial |
<INITIALU>INITIAL       { return INITKW; }
<INITIAL>inout |
<INITIALU>INOUT         { PortType = BEIF_PORT_TYPE_INOUT; return INOUTKW; }
<INITIAL>input |
<INITIALU>INPUT         { PortType = BEIF_PORT_TYPE_INPUT; return INPUTKW; }
<INITIAL>integer |
<INITIALU>INTEGER       { yylval.num = BEIF_VAR_TYPE_INTEGER; return INTKW; }
<INITIAL>join |
<INITIALU>JOIN          { return JOINKW; }
<INITIAL>large |
<INITIALU>LARGE         { return LARGEKW; }
<INITIAL>macromodule |
<INITIALU>MACROMODULE   { return MACMODKW; }
<INITIAL>medium |
<INITIALU>MEDIUM        { return MEDIUMKW; }
<INITIAL>module |
<INITIALU>MODULE        { return MODKW; }
<INITIAL>nand |
<INITIALU>NAND          { return NANDKW; }
<INITIAL>negedge |
<INITIALU>NEGEDGE       { return NEGEDGEKW; }
<INITIAL>nmos |
<INITIALU>NMOS          { return NMOSKW; }
<INITIAL>nor |
<INITIALU>NOR           { return NORKW; }
<INITIAL>not |
<INITIALU>NOT           { return NOTKW; }
<INITIAL>notif0 |
<INITIALU>NOTIF0        { return NOTIF0KW; }
<INITIAL>notif1 |
<INITIALU>NOTIF1        { return NOTIF1KW; }
<INITIAL>or |
<INITIALU>OR            { return ORKW; }
<INITIAL>output |
<INITIALU>OUTPUT        { PortType = BEIF_PORT_TYPE_OUTPUT; return OUTPUTKW; }
<INITIAL>parameter |
<INITIALU>PARAMETER     { return PARMKW; }
<INITIAL>pmos |
<INITIALU>PMOS          { return PMOSKW; }
<INITIAL>posedge |
<INITIALU>POSEDGE       { return POSEDGEKW; }
<INITIAL>primitive |
<INITIALU>PRIMITIVE     { return PRIMKW; }
<INITIAL>pull0 |
<INITIALU>PULL0         { return PULL0; }
<INITIAL>pull1 |
<INITIALU>PULL1         { return PULL1; }
<INITIAL>pulldown |
<INITIALU>PULLDOWN      { return PULLDOWNKW; }
<INITIAL>pullup |
<INITIALU>PULLUP        { return PULLUPKW; }
<INITIAL>rcmos |
<INITIALU>RCMOS         { return RCMOSKW; }
<INITIAL>real |
<INITIALU>REAL          { yylval.num = BEIF_VAR_TYPE_REAL; return REALKW; }
<INITIAL>realtime |
<INITIALU>REALTIME      { yylval.num = BEIF_VAR_TYPE_REALTIME; return REALTIMEKW; }
<INITIAL>reg |
<INITIALU>REG           { yylval.num = BEIF_VAR_TYPE_REG; return REGKW; }
<INITIAL>release |
<INITIALU>RELEASE       { return RELEASEKW; }
<INITIAL>repeat |
<INITIALU>REPEAT        { return REPEATKW; }
<INITIAL>rnmos |
<INITIALU>RNMOS         { return RNMOSKW; }
<INITIAL>rpmos |
<INITIALU>RPMOS         { return RPMOSKW; }
<INITIAL>rtran |
<INITIALU>RTRAN         { return RTRANKW; }
<INITIAL>rtranif0 |
<INITIALU>RTANIF0      { return RTRANIF0KW; }
<INITIAL>rtranif1 |
<INITIALU>RTRANIF1      { return RTRANIF1KW; }
<INITIAL>scalared |
<INITIALU>SCALARED      { return SCALAREDKW; }
<INITIAL>small |
<INITIALU>SMALL         { return SMALLKW; }
<INITIAL>specify |
<INITIALU>SPECIFY       { 
              if (useUpperCase) {
  							ChangeToState(SPECIFY_BLOCKU);
              } else {
   							ChangeToState(SPECIFY_BLOCK);
              }
						}
<SPECIFY_BLOCK>endspecify	|
<SPECIFY_BLOCKU>ENDSPECIFY	{
              if (useUpperCase) {
							  ChangeToState(INITIALU);
              } else {
 							  ChangeToState(INITIAL);
              }
						}
<SPECIFY_BLOCK,SPECIFY_BLOCKU>"//"		{
              if (useUpperCase) {
  							PushState(SPECIFY_BLOCKU);
              } else {
  							PushState(SPECIFY_BLOCK);
              }
							ChangeToState(SCOMMENT);
						}
<SPECIFY_BLOCK,SPECIFY_BLOCKU>"/*"		{
              if (useUpperCase) {
  							PushState(SPECIFY_BLOCKU);
              } else {
  							PushState(SPECIFY_BLOCK);
              }
							MultiLineComment++;
							ChangeToState(MCOMMENT);
						}
<SPECIFY_BLOCK,SPECIFY_BLOCKU>\"		{
              if (useUpperCase) {
  							PushState(SPECIFY_BLOCKU);
              } else {
  							PushState(SPECIFY_BLOCK);
              }
							ChangeToState(IN_STRING);
						}

<SPECIFY_BLOCK,SPECIFY_BLOCKU>[ \t\n]		{
						}
<SPECIFY_BLOCK,SPECIFY_BLOCKU>.		{
						}

<MCOMMENT>"*/"			{
							if(!(--MultiLineComment))	{
								ChangeToState(PopState());
							}
						}
<MCOMMENT>.				{
						}
<SCOMMENT>.*\n			{
							ChangeToState(PopState());
						}
<INITIAL>specparam |
<INITIALU>SPECPARAM     { return SPECPARMKW; }
<INITIAL>strong0 |
<INITIALU>STRONG0       { return STRONG0KW; }
<INITIAL>strong1 |
<INITIALU>STRONG1       { return STRONG1KW; }
<INITIAL>supply0 |
<INITIALU>SUPPLY0       { return SUPPLY0KW; }
<INITIAL>supply1 |
<INITIALU>SUPPLY1       { return SUPPLY1KW; }
<INITIAL>table |
<INITIALU>TABLE         { return TABLEKW; }
<INITIAL>task |
<INITIALU>TASK          { return TASKKW; }
<INITIAL>time |
<INITIALU>TIME          { yylval.num = BEIF_VAR_TYPE_TIME; return TIMEKW; }
<INITIAL>tran |
<INITIALU>TRAN          { return TRANKW; }
<INITIAL>tranif0 |
<INITIALU>TRANIF0       { return TRANIF0KW; }
<INITIAL>tranif1 |
<INITIALU>TRANIF1       { return TRANIF1KW; }
<INITIAL>tri |
<INITIALU>TRI           { return TRIKW; }
<INITIAL>tri0 |
<INITIALU>TRI0          { return TRI0KW; }
<INITIAL>tri1 |
<INITIALU>TRI1          { return TRI1KW; }
<INITIAL>triand |
<INITIALU>TRIAND        { return TRIANDKW; }
<INITIAL>trior |
<INITIALU>TRIOR         { return TRIORKW; }
<INITIAL>trireg |
<INITIALU>TRIREG        { return TRIREGKW; }
<INITIAL>vectored |
<INITIALU>VECTORED      { return VECTOREDKW; }
<INITIAL>wait |
<INITIALU>WAIT          { return WAITKW; }
<INITIAL>wand |
<INITIALU>WAND          { return WANDKW; }
<INITIAL>weak0 |
<INITIALU>WEAK0         { return WEAK0; }
<INITIAL>weak1 |
<INITIALU>WEAK1         { return WEAK1; }
<INITIAL>wor |
<INITIALU>WOR           { return WORKW; }
<INITIAL>while |
<INITIALU>WHILE         { return WHILEKW; }
<INITIAL>wire |
<INITIALU>WIRE          { return WIREKW; }
<INITIAL>xnor |
<INITIALU>XNOR          { return XNORKW; }
<INITIAL>xor |
<INITIALU>XOR           { return XORKW; }


	/*
	 * Others.
	 */

<<EOF>>                 { return YYENDOFFILE; }


<INITIALU,INITIAL,CONSTANT_ONLY>[01]		    { 
    CpyString(yytext); 
    if (useUpperCase) {
      ChangeToState(INITIALU);
    } else {
      ChangeToState(INITIAL);
    }
    return (InitVal ? INIT_VAL : CONST);
  }

<INITIALU,INITIAL>1'[bB][ \t]*[01xX]		    {
    CpyString(yytext);
    return (InitVal ? INIT_VAL : BINNUMBER);
  }

<INITIALU,INITIAL,CONSTANT_ONLY>\${DWord}		  {
    CpyString(yytext);
    HierNameFlag=0;
    if (useUpperCase) {
      ChangeToState(INITIALU);
    } else {
      ChangeToState(INITIAL);
    }
    return SYSIDENT;
  }


<INITIALU,INITIAL>{Binary}		    { CpyString(yytext); return BINNUMBER; }
<INITIALU,INITIAL>{Octal}		    { CpyString(yytext); return OCTNUMBER; } 
<INITIALU,INITIAL>{Decimal}		    { CpyString(yytext);  return DECNUMBER; } 
<INITIALU,INITIAL>{Hexdecimal}	            { CpyString(yytext);  return HEXNUMBER; }
<INITIALU,INITIAL,CONSTANT_ONLY>{Number}		    {
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return CONST; }
<INITIALU,INITIAL,CONSTANT_ONLY>{Simpreal}		  { 
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return REALNUMBER; }
<INITIALU,INITIAL,CONSTANT_ONLY>{Real0}		    {
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return REALNUMBER; }
<INITIALU,INITIAL,CONSTANT_ONLY>{Real1}		    {
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return REALNUMBER; }
<INITIALU,INITIAL,CONSTANT_ONLY>{Real2}		    {
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return REALNUMBER; }
<INITIALU,INITIAL,CONSTANT_ONLY>{Real3}		    { 
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  return REALNUMBER; }

<CONSTANT_ONLY>[ \t\n] 
 /* This causes problems for #d where d is an IDENT
<CONSTANT_ONLY>.  { return yytext[0];}
 */

<INITIALU,INITIAL>\"					{ 
    if (useUpperCase) {
      PushState(INITIALU);
    } else {
      PushState(INITIAL);
    }
    ChangeToState(IN_STRING); 
}
<IN_STRING>\\.				{
	yymore();
}
<IN_STRING>\"				{ 
	int State=PopState();

	ChangeToState(State);
	if((State == INITIAL)	|| (State == INITIALU)) {
           CpyString1(yytext);
           return STRING; 
	}
}
<IN_STRING>{NL}				{
	char TmpStr[200];

        BeIfRegisterError("Unterminated string",(char *)NULL);
	yymore();
}
<IN_STRING>.				{ yymore(); }

<INITIALU,INITIAL,CONSTANT_ONLY>{DWord}			    { 
  CpyString(yytext);
  if (useUpperCase) {
    ChangeToState(INITIALU);
  } else {
    ChangeToState(INITIAL);
  }
  HierNameFlag=0;
  return IDENT; }


 /* 
<INITIALU,INITIAL,CONSTANT_ONLY>{Word}([ ]*\.[ ]*{Word})+	 {
    CpyString(yytext);
    if (useUpperCase) {
      ChangeToState(INITIALU);
    } else {
      ChangeToState(INITIAL);
    }
    HierNameFlag=1;
    return IDENT;
  }
 */

<INITIALU,INITIAL,CONSTANT_ONLY>\\[^ \t\n]+[ \t]*	{
    CpyEscapedString(yytext);
    if (useUpperCase) {
      ChangeToState(INITIALU);
    } else {
      ChangeToState(INITIAL);
    }
    return IDENT;
  }


<INITIALU,INITIAL,CONSTANT_ONLY>({Word}|\\[^ \t\n]+)[ \t]*\.[ \t]* 	{
    ChangeToState(IN_HIER);
    HierNameFlag = 1;
    yymore();
  }

<IN_HIER,CONSTANT_ONLY>({Word}|\\[^ \t\n]+)[ \t]*\.[ \t]* { yymore(); }

<IN_HIER,CONSTANT_ONLY>{Word}|\\[^ \t\n]+ {
    if (useUpperCase) {
      ChangeToState(INITIALU);
    } else {
      ChangeToState(INITIAL);
    }
    CpyString(yytext);
    return IDENT;
  }


<CS_TABLE>[bBxX01?]		{
	return CS_SYMBOL;
}

<CS_TABLE>[rRfFpPnN*]	{
	return EDGE_SYMBOL;
}
 

\n   {  }

<INITIALU,INITIAL,CS_TABLE>.				      { return(yytext[0]); }



%%

int
reset_lex(yyin)
FILE	*yyin;
{
  yyrestart(yyin);
  return 0;
}


#define STRING_BUF_SIZE 16384

static char StringBuf[STRING_BUF_SIZE];
static char *StrPtr=&StringBuf[0];
static char *EndStr=&StringBuf[STRING_BUF_SIZE-1];



void
InitLexer()
{
  StrPtr=&StringBuf[0];
  EndStr=&StringBuf[STRING_BUF_SIZE-1];
  StateList=NULL;
  LastState=NULL;
  MultiLineComment=0;
  if (useUpperCase) {
    CurrentState=INITIALU;
    ChangeToState(INITIALU);
  } else {
    CurrentState=INITIAL;
    ChangeToState(INITIAL);
  }
  yy_init = 1;
  yy_c_buf_p = (char *) 0;
}


void
CpyString1(char *str)
{

  int   i;
  int   NotEnoughRoom;
  char  *OrigStrPtr;

  NotEnoughRoom = 0;
  if (StrPtr >= EndStr) {
    StrPtr = &StringBuf[0];
  }
  OrigStrPtr = StrPtr;
  *StrPtr = '\"';
  StrPtr++; 
  for (i=0; str[i] != '\0'; i++) {
    *StrPtr = str[i];
    StrPtr++;
    if (StrPtr >= EndStr) {
      NotEnoughRoom = 1;
      break;
    }
  }
  if (NotEnoughRoom) {
    StrPtr = &StringBuf[0];
    OrigStrPtr = StrPtr;
    for (i=0; str[i] != '\0'; i++) {
      *StrPtr = str[i];
      StrPtr++;
    }
  }
  *StrPtr = '\0';
  StrPtr++;
  yylval.string = OrigStrPtr;
}


void
CpyString(char *str)
{

  int   i;
  int   NotEnoughRoom;
  char  *OrigStrPtr;

  NotEnoughRoom = 0;
  if (StrPtr >= EndStr) {
    StrPtr = &StringBuf[0];
  }
  OrigStrPtr = StrPtr;
  for (i=0; str[i] != '\0'; i++) {
    *StrPtr = str[i];
    StrPtr++;
    if (StrPtr >= EndStr) {
      NotEnoughRoom = 1;
      break;
    }
  }
  if (NotEnoughRoom) {
    StrPtr = &StringBuf[0];
    OrigStrPtr = StrPtr;
    for (i=0; str[i] != '\0'; i++) {
      *StrPtr = str[i];
      StrPtr++;
    }
  }
  *StrPtr = '\0';
  StrPtr++;
  yylval.string = OrigStrPtr;
}


void
CpyEscapedString(char *str)
{

  int   i, last;
  int   NotEnoughRoom;
  char  *OrigStrPtr;
  char  *newStr, *cPtr;
  int   flag=0;


  last = strlen(str)-1;

  NotEnoughRoom = 0;
  if (StrPtr >= EndStr) {
    StrPtr = &StringBuf[0];
  }
#ifdef RLDEBUG
printf("escaped name Ident - \"%s\"\n", str);
#endif

  last = strlen(str)-1; 
  OrigStrPtr = StrPtr;
  if (str[last] == ']') {
     for (i=last-1; i >= 0; i--) {
         if (str[i] == '[') {
            flag = 1; break;
         }
     }
  } else if (str[last] == ' ' && last-1 >= 0 && str[last-1] == ']') {
     for (i=last-2; i >= 0; i--) {
         if (str[i] == '[') {
            flag = 1; break;
         }
     }
  } else if (strlen(str) >= 3 && str[last] == '}' && str[1] == '{') {
     flag = 1;
  } else if (strlen(str) >= 4 && str[last] == ' ' && str[last-1] == '}' && str[1] == '{') {
     flag = 1;
  } else if (index(str, '.')) {
     flag = 1;
  }

  if (!flag) {
     for (i=1; str[i] != '\0'; i++) {
        if (!(i == last && str[last] == ' ')) {
           *StrPtr = str[i];
           StrPtr++;
           if (StrPtr >= EndStr) {
              NotEnoughRoom = 1;
              break;
           }
        }
     }
     if (NotEnoughRoom) {
       StrPtr = &StringBuf[0];
       OrigStrPtr = StrPtr;
       for (i=1; str[i] != '\0'; i++) {
          if (!(i == last && str[last] == ' ')) {
             *StrPtr = str[i];
             StrPtr++;
          }
       }
     }
  } else {

     for (i=0; str[i] != '\0'; i++) {
        if (!(i == last && str[last] == ' ')) {
           *StrPtr = str[i];
           StrPtr++;
           if (StrPtr >= EndStr) {
              NotEnoughRoom = 1;
              break;
           }
        }
     }
     if (NotEnoughRoom) {
       StrPtr = &StringBuf[0];
       OrigStrPtr = StrPtr;
       for (i=0; str[i] != '\0'; i++) {
          if (!(i == last && str[last] == ' ')) {
             *StrPtr = str[i];
             StrPtr++;
          }
       }
     }
     *StrPtr = str[0];
     StrPtr++;
  }
  *StrPtr = '\0';
  StrPtr++;
  yylval.string = OrigStrPtr;

#ifdef RLDEBUG
printf("OrigStrPtr - \"%s\"\n", OrigStrPtr);
#endif
}



void PushState(int State)
{
register StateData *Tmp;

	Tmp=(StateData *)malloc(sizeof(StateData));
	Tmp->State=State;
	Tmp->Next=NULL;
	if(LastState == NULL)	{
		LastState=StateList=Tmp;
		Tmp->Prev=NULL;
	}
	else	{
		Tmp->Prev=LastState;
		LastState=LastState->Next=Tmp;
	}
}

int PopState()
{
int State;
register StateData *Tmp;

	State=LastState->State;
	Tmp=LastState->Prev;
	if(Tmp)
		Tmp->Next=NULL;
	else	{
		free(LastState);
		LastState=Tmp;
	}
	return State;
}

void ChangeToState(int NewState)
{
	CurrentState=NewState;
	BEGIN NewState;
}

void BeginState(char *NewState)
{
	if(NewState == NULL)
		return;

	if(strcmp(NewState,"INITIAL") == 0)	{
		ChangeToState(INITIAL);
		return;
	}
	if(strcmp(NewState,"INITIALU") == 0)	{
		ChangeToState(INITIALU);
		return;
	}
	if(strcmp(NewState,"CS_TABLE") == 0)	{
		ChangeToState(CS_TABLE);
		return;
	}
}

int GetCurrentState()
{
	return CurrentState;
}



void LexPrintState()
{
  int state;

  state = (yy_start - 1) / 2;
  switch (state) {
   case MCOMMENT:
     printf("Lex State is  MCOMMENT\n");
     break;

 case SCOMMENT:
     printf("Lex State is  SCOMMENT\n");
     break;

 case IN_STRING:
     printf("Lex State is  IN_STRING\n");
     break;

 case SPECIFY_BLOCK:
     printf("Lex State is  SPECIFY_BLOCK\n");
     break;

 case CS_TABLE:
     printf("Lex State is  CS_TABLE\n");
     break;

 case CONSTANT_ONLY:
     printf("Lex State is  CONSTANT_ONLY\n");
     break;

 case INITIALU:
     printf("Lex State is  INITIALU\n");
     break;

 case SPECIFY_BLOCKU:
     printf("Lex State is  SPECIFY_BLOCKU\n");
     break;

  }
}

[-- Attachment #4: Type: text/plain, Size: 219 bytes --]


--
Problem reports:       http://cygwin.com/problems.html
FAQ:                   http://cygwin.com/faq/
Documentation:         http://cygwin.com/docs.html
Unsubscribe info:      http://cygwin.com/ml/#unsubscribe-simple

^ permalink raw reply	[flat|nested] 2+ messages in thread

* Re: Flex 2.6.3-1: error: ‘INITIAL’ undeclared here (not in a function)
  2017-04-16 10:02 ` Flex 2.6.3-1: error: ‘INITIAL’ undeclared here (not in a function) ram rao via cygwin
@ 2017-04-16 10:06   ` Marco Atzeri
  0 siblings, 0 replies; 2+ messages in thread
From: Marco Atzeri @ 2017-04-16 10:06 UTC (permalink / raw)
  To: cygwin

On 16/04/2017 08:20, ram rao via cygwin wrote:
> I get this error:
>
>
> vlex.l:37:25: error: ‘INITIAL’ undeclared here (not in a function)
>
> static int CurrentState=INITIAL;
>
>
> Googling this issue suggests one try "flex-old"
>
> However that is not available anywhere

on Cygwin this means you need to install the previous version of flex
that is flex-2.6.1-1.


> Attached pls find:
>
>   cygcheck.out
>
>   vlex.l
>
> Pls help
>
> Thanks
>

You are not the only one with issue on 2.6.3
due to upstream issue.
https://cygwin.com/ml/cygwin/2017-04/msg00099.html

I am trying to build latest git upstream source and
to release it as test to solve the issue on 2.6.3.

Regards
Marco


--
Problem reports:       http://cygwin.com/problems.html
FAQ:                   http://cygwin.com/faq/
Documentation:         http://cygwin.com/docs.html
Unsubscribe info:      http://cygwin.com/ml/#unsubscribe-simple

^ permalink raw reply	[flat|nested] 2+ messages in thread

end of thread, other threads:[~2017-04-16  7:34 UTC | newest]

Thread overview: 2+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
     [not found] <266112322.1160696.1492323620307.ref@mail.yahoo.com>
2017-04-16 10:02 ` Flex 2.6.3-1: error: ‘INITIAL’ undeclared here (not in a function) ram rao via cygwin
2017-04-16 10:06   ` Marco Atzeri

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox;
as well as URLs for read-only IMAP folder(s) and NNTP newsgroup(s).